登录
首页 » Verilog » FIR

FIR

于 2019-06-19 发布
0 118
下载积分: 1 下载次数: 1

代码说明:

说明:  一个1MHz的FIR低通滤波器。 ① 时钟信号频率16MHz; ② 输入信号位宽8bits,符号速率16MHz; ③ 要求在Matlab软件中进行FIR滤波器浮点和定点仿真,并确定FIR滤波器抽头系数; ④ 写出测试仿真程序。(A 1MHz FIR low pass filter. (1) The clock signal frequency is 16MHz; (2) The input signal has a bit width of 8 bits and a symbol rate of 16 MHz; (3) Floating-point and fixed-point simulation of FIR filter is required in Matlab software, and tap coefficients of FIR filter are determined. (4) Write the test simulation program.)

文件列表:

FIR, 0 , 2019-05-31
FIR\10.7.3.PNG, 101935 , 2018-05-24
FIR\10.7.4.PNG, 93562 , 2018-05-24
FIR\caculator.v, 1490 , 2018-05-01
FIR\FIR-MATLAB, 0 , 2019-05-31
FIR\FIR-MATLAB\filt.cr.mti, 527 , 2018-05-24
FIR\FIR-MATLAB\filt.mpf, 79129 , 2018-05-24
FIR\FIR-MATLAB\filter.v, 23962 , 2018-05-24
FIR\FIR-MATLAB\filter_compile.do, 25 , 2018-05-24
FIR\FIR-MATLAB\filter_tb.v, 289570 , 2018-05-24
FIR\FIR-MATLAB\filter_tb_compile.do, 43 , 2018-05-24
FIR\FIR-MATLAB\filter_tb_sim.do, 313 , 2018-05-24
FIR\FIR-MATLAB\vsim.wlf, 409600 , 2018-05-24
FIR\FIR-MATLAB\work, 0 , 2019-05-31
FIR\FIR-MATLAB\work\filter, 0 , 2019-05-31
FIR\FIR-MATLAB\work\filter\verilog.asm, 134624 , 2018-05-24
FIR\FIR-MATLAB\work\filter\verilog.rw, 15185 , 2018-05-24
FIR\FIR-MATLAB\work\filter\_primary.dat, 16764 , 2018-05-24
FIR\FIR-MATLAB\work\filter\_primary.dbs, 24018 , 2018-05-24
FIR\FIR-MATLAB\work\filter\_primary.vhd, 6723 , 2018-05-24
FIR\FIR-MATLAB\work\filter_tb, 0 , 2019-05-31
FIR\FIR-MATLAB\work\filter_tb\verilog.asm, 676392 , 2018-05-24
FIR\FIR-MATLAB\work\filter_tb\verilog.rw, 26181 , 2018-05-24
FIR\FIR-MATLAB\work\filter_tb\_primary.dat, 257964 , 2018-05-24
FIR\FIR-MATLAB\work\filter_tb\_primary.dbs, 182643 , 2018-05-24
FIR\FIR-MATLAB\work\filter_tb\_primary.vhd, 681 , 2018-05-24
FIR\FIR-MATLAB\work\_info, 998 , 2018-05-24
FIR\FIR-MATLAB\work\_temp, 0 , 2018-05-24
FIR\FIR-MATLAB\work\_vmake, 26 , 2018-05-24
FIR\FIR.cr.mti, 1612 , 2018-05-24
FIR\FIR.mpf, 81063 , 2018-05-24
FIR\FIR.v, 778 , 2018-05-01
FIR\FIR_tb.v, 366 , 2018-05-01
FIR\shift_register.v, 904 , 2018-05-01
FIR\vsim.wlf, 81920 , 2018-05-24
FIR\work, 0 , 2019-05-31
FIR\work\@f@i@r, 0 , 2019-05-31
FIR\work\@f@i@r\_primary.dat, 795 , 2018-05-24
FIR\work\@f@i@r\_primary.dbs, 1207 , 2018-05-24
FIR\work\@f@i@r\_primary.vhd, 292 , 2018-05-24
FIR\work\@f@i@r_tb, 0 , 2019-05-31
FIR\work\@f@i@r_tb\_primary.dat, 436 , 2018-05-24
FIR\work\@f@i@r_tb\_primary.dbs, 720 , 2018-05-24
FIR\work\@f@i@r_tb\_primary.vhd, 72 , 2018-05-24
FIR\work\caculator, 0 , 2019-05-31
FIR\work\caculator\_primary.dat, 1158 , 2018-05-24
FIR\work\caculator\_primary.dbs, 2039 , 2018-05-24
FIR\work\caculator\_primary.vhd, 1450 , 2018-05-24
FIR\work\filter, 0 , 2019-05-31
FIR\work\filter\_primary.dat, 16764 , 2018-05-24
FIR\work\filter\_primary.dbs, 24018 , 2018-05-24
FIR\work\filter\_primary.vhd, 6723 , 2018-05-24
FIR\work\filter_tb, 0 , 2019-05-31
FIR\work\filter_tb\_primary.dat, 257964 , 2018-05-24
FIR\work\filter_tb\_primary.dbs, 182643 , 2018-05-24
FIR\work\filter_tb\_primary.vhd, 681 , 2018-05-24
FIR\work\mul_addtree, 0 , 2019-05-31
FIR\work\mul_addtree\_primary.dat, 756 , 2018-05-24
FIR\work\mul_addtree\_primary.dbs, 1206 , 2018-05-24
FIR\work\mul_addtree\_primary.vhd, 284 , 2018-05-24
FIR\work\shift_register, 0 , 2019-05-31
FIR\work\shift_register\_primary.dat, 875 , 2018-05-24
FIR\work\shift_register\_primary.dbs, 1372 , 2018-05-24
FIR\work\shift_register\_primary.vhd, 810 , 2018-05-24
FIR\work\_info, 2924 , 2018-05-24
FIR\work\_temp, 0 , 2018-05-24
FIR\work\_vmake, 26 , 2018-05-24
FIR\波形图-MATLAB.png, 76976 , 2018-07-01
FIR\波形图.PNG, 73948 , 2018-05-24
FIR\题目三.docx, 581361 , 2018-07-02

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • HVDC_VSC3
    一个基于PSCAD仿真平台的三端的柔性直流。(A three terminal flexible DC based on PSCAD simulation platform.)
    2017-08-11 16:03:45下载
    积分:1
  • python cook book(第3版)高清中文完整版.pdf
    说明:  这是一本关于python编程的指导用书,非常全面(This is a very comprehensive guide book on Python programming.)
    2020-06-22 13:20:02下载
    积分:1
  • snake
    利用控制台操作实现界面贪吃蛇,功能具有代表性(use the perform of controlling to realise snake)
    2012-11-09 00:16:58下载
    积分:1
  • Matlab Book
    pdf book for Optical Communication Function
    2020-06-19 10:00:02下载
    积分:1
  • netlink_ipc
    说明:  netlink通信模板,用于和内核驱动进行通信(netlink communication module)
    2020-06-20 18:20:02下载
    积分:1
  • realmedia解压源码,我还没研究透,传上和大家一起分析
    realmedia解压源码,我还没研究透,传上和大家一起分析-realmedia extract source code, I have not yet thoroughly studied, Chuan and to analyze the U.S.
    2022-03-17 19:32:27下载
    积分:1
  • 50Hz陷波器设计(matlab),去除工频干扰
    50Hz陷波器设计(matlab),去除工频干扰-filter
    2023-05-12 16:45:02下载
    积分:1
  • tbere
    Iocmp控件库的vb例程,有60多个源程序代码,不错的,(Iocmp controls of the vb routines, there are more than 60 source code, good,)
    2017-04-14 22:37:53下载
    积分:1
  • Rsoft教程
    用于r soft 初学者的学习资料,本资料包含rsoft软件基本的功能区介绍和简单实例讲解(Learning materials for r soft is a beginner)
    2017-12-15 23:06:46下载
    积分:1
  • dkink 的 推箱子游戏~,初学者的最爱,够简单。
    dkink 的 推箱子游戏~,初学者的最爱,够简单。-The Sokoban game dkink ~, beginners favorite, simple enough.
    2022-02-02 04:55:59下载
    积分:1
  • 696522资源总数
  • 104040会员总数
  • 35今日下载