登录
首页 » VHDL » CPU-Verilog

CPU-Verilog

于 2020-06-23 发布
0 56
下载积分: 1 下载次数: 3

代码说明:

说明:  简单流水线CPU,使用 verilog实现,实现一条指令的整个流程(Implementation of Simple Pipeline CPU Verilog)

文件列表:

new\defines.v, 2613 , 2014-02-24
new\ex.v, 5625 , 2014-02-24
new\ex_mem.v, 2976 , 2014-02-24
new\hilo_reg.v, 2431 , 2019-07-15
new\id.v, 10042 , 2019-07-15
new\id_ex.v, 2955 , 2014-02-20
new\if_id.v, 2363 , 2014-02-20
new\inst_rom.data, 144 , 2014-02-25
new\inst_rom.v, 2339 , 2014-03-30
new\mem.v, 2865 , 2014-02-24
new\mem_wb.v, 2968 , 2014-02-24
new\openmips.v, 7251 , 2019-07-15
new\openmips_min_sopc.v, 2699 , 2014-03-30
new\openmips_min_sopc_tb.v, 2348 , 2014-02-22
new\pc_reg.v, 2364 , 2014-03-30
new\regfile.v, 3386 , 2014-02-07
new, 0 , 2019-07-15

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 696524资源总数
  • 103978会员总数
  • 64今日下载