登录
首页 » Verilog » 俄罗斯方块

俄罗斯方块

于 2019-12-15 发布
0 88
下载积分: 1 下载次数: 1

代码说明:

说明:  俄罗斯方块游戏,采用Verilog编写,整个工程文件,TFT/VGA显示(Tetris game, written by Verilog, the whole project file, TFT / VGA display)

文件列表:

tetris_test, 0 , 2014-05-17
tetris_test\debouncer.v, 1706 , 2014-05-17
tetris_test\debouncer.v.bak, 2086 , 2011-12-27
tetris_test\display_border.v, 2471 , 2014-04-24
tetris_test\display_border.v.bak, 2937 , 2011-12-27
tetris_test\display_little_square.v, 205627 , 2014-04-24
tetris_test\display_little_square.v.bak, 206103 , 2011-12-27
tetris_test\display_moving_square.v, 18501 , 2014-04-24
tetris_test\display_moving_square.v.bak, 18975 , 2011-12-27
tetris_test\display_next_square.v, 20364 , 2014-04-24
tetris_test\display_next_square.v.bak, 20839 , 2011-12-27
tetris_test\game_display.v, 1900 , 2014-04-24
tetris_test\game_display.v.bak, 2366 , 2011-12-27
tetris_test\game_process.v, 1707 , 2014-04-24
tetris_test\game_process.v.bak, 2177 , 2011-12-27
tetris_test\game_sync_module.v, 1584 , 2014-04-24
tetris_test\game_sync_module.v.bak, 2055 , 2011-12-27
tetris_test\greybox_tmp, 0 , 2014-04-24
tetris_test\greybox_tmp\cbx_args.txt, 313 , 2014-05-17
tetris_test\incremental_db, 0 , 2014-04-24
tetris_test\incremental_db\README, 653 , 2011-12-27
tetris_test\incremental_db\compiled_partitions, 0 , 2014-04-24
tetris_test\incremental_db\compiled_partitions\tetris_test.db_info, 138 , 2014-04-24
tetris_test\incremental_db\compiled_partitions\tetris_test.root_partition.cmp.atm, 910374 , 2011-12-27
tetris_test\incremental_db\compiled_partitions\tetris_test.root_partition.cmp.cdb, 547617 , 2014-04-24
tetris_test\incremental_db\compiled_partitions\tetris_test.root_partition.cmp.dfp, 33 , 2014-04-24
tetris_test\incremental_db\compiled_partitions\tetris_test.root_partition.cmp.hdb, 117639 , 2014-04-24
tetris_test\incremental_db\compiled_partitions\tetris_test.root_partition.cmp.hdbx, 94085 , 2011-12-27
tetris_test\incremental_db\compiled_partitions\tetris_test.root_partition.cmp.kpt, 199 , 2014-04-24
tetris_test\incremental_db\compiled_partitions\tetris_test.root_partition.cmp.logdb, 4 , 2014-04-24
tetris_test\incremental_db\compiled_partitions\tetris_test.root_partition.cmp.rcf, 415103 , 2011-12-27
tetris_test\incremental_db\compiled_partitions\tetris_test.root_partition.cmp.rcfdb, 703935 , 2014-04-24
tetris_test\incremental_db\compiled_partitions\tetris_test.root_partition.map.atm, 805513 , 2011-12-27
tetris_test\incremental_db\compiled_partitions\tetris_test.root_partition.map.cdb, 356444 , 2014-04-24
tetris_test\incremental_db\compiled_partitions\tetris_test.root_partition.map.dpi, 7116 , 2014-04-24
tetris_test\incremental_db\compiled_partitions\tetris_test.root_partition.map.hbdb.cdb, 1438 , 2014-04-24
tetris_test\incremental_db\compiled_partitions\tetris_test.root_partition.map.hbdb.hb_info, 53 , 2014-04-24
tetris_test\incremental_db\compiled_partitions\tetris_test.root_partition.map.hbdb.hdb, 112274 , 2014-04-24
tetris_test\incremental_db\compiled_partitions\tetris_test.root_partition.map.hbdb.sig, 31 , 2014-04-24
tetris_test\incremental_db\compiled_partitions\tetris_test.root_partition.map.hdb, 111451 , 2014-04-24
tetris_test\incremental_db\compiled_partitions\tetris_test.root_partition.map.hdbx, 107677 , 2011-12-27
tetris_test\incremental_db\compiled_partitions\tetris_test.root_partition.map.kpt, 26766 , 2014-04-24
tetris_test\incremental_db\compiled_partitions\tetris_test.root_partition.merge_hb.atm, 145406 , 2011-12-27
tetris_test\loading_happen.v, 30976 , 2014-04-24
tetris_test\loading_happen.v.bak, 31444 , 2011-12-27
tetris_test\next_rom_module, 0 , 2014-04-24
tetris_test\next_rom_module\NEXT.mif, 3553 , 2011-12-26
tetris_test\next_rom_module\next_rom_module.bsf, 3168 , 2011-12-26
tetris_test\next_rom_module\next_rom_module.qip, 488 , 2011-12-26
tetris_test\next_rom_module\next_rom_module.v, 6552 , 2011-12-26
tetris_test\next_rom_module\next_rom_module_bb.v, 5141 , 2011-12-26
tetris_test\next_rom_module\next_rom_module_inst.v, 112 , 2011-12-26
tetris_test\over_rom_module, 0 , 2014-04-24
tetris_test\over_rom_module\OVER.mif, 5783 , 2011-12-21
tetris_test\over_rom_module\OVER.mif.bak, 5783 , 2011-12-21
tetris_test\over_rom_module\over_rom_module.bsf, 3165 , 2011-12-21
tetris_test\over_rom_module\over_rom_module.inc, 883 , 2011-12-21
tetris_test\over_rom_module\over_rom_module.qip, 582 , 2011-12-21
tetris_test\over_rom_module\over_rom_module.v, 6539 , 2011-12-21
tetris_test\over_rom_module\over_rom_module_bb.v, 5133 , 2011-12-21
tetris_test\over_rom_module\over_rom_module_inst.v, 112 , 2011-12-21
tetris_test\over_sync_module.v, 1656 , 2014-04-24
tetris_test\over_sync_module.v.bak, 2128 , 2011-12-27
tetris_test\over_vga_control_module.v, 1346 , 2014-04-24
tetris_test\over_vga_control_module.v.bak, 1825 , 2011-12-27
tetris_test\pll_module, 0 , 2014-04-24
tetris_test\pll_module\pll_module.bsf, 2788 , 2014-04-24
tetris_test\pll_module\pll_module.inc, 856 , 2014-04-24
tetris_test\pll_module\pll_module.ppf, 358 , 2014-04-24
tetris_test\pll_module\pll_module.qip, 642 , 2014-04-24
tetris_test\pll_module\pll_module.v, 14699 , 2014-04-24
tetris_test\pll_module\pll_module_bb.v, 11031 , 2014-04-24
tetris_test\pll_module\pll_module_inst.v, 78 , 2014-04-24
tetris_test\pll_module.qip, 0 , 2014-04-24
tetris_test\ready_rom_module, 0 , 2014-05-17
tetris_test\ready_rom_module\TETRIS.mif, 5426 , 2011-12-21
tetris_test\ready_rom_module\TETRIS.mif.bak, 5426 , 2011-12-21
tetris_test\ready_rom_module\tetris_rom_module.bsf, 3167 , 2011-12-21
tetris_test\ready_rom_module\tetris_rom_module.inc, 885 , 2011-12-21
tetris_test\ready_rom_module\tetris_rom_module.qip, 592 , 2011-12-21
tetris_test\ready_rom_module\tetris_rom_module.v, 6565 , 2011-12-21
tetris_test\ready_rom_module\tetris_rom_module_bb.v, 5157 , 2011-12-21
tetris_test\ready_rom_module\tetris_rom_module_inst.v, 116 , 2011-12-21
tetris_test\ready_sync_module.v, 1703 , 2014-04-24
tetris_test\ready_sync_module.v.bak, 2176 , 2011-12-27
tetris_test\ready_vga_control_module.v, 1391 , 2014-04-24
tetris_test\ready_vga_control_module.v.bak, 1871 , 2011-12-27
tetris_test\square_gen.v, 8136 , 2014-04-24
tetris_test\square_gen.v.bak, 8600 , 2011-12-27
tetris_test\tetris_rom_module.qip, 0 , 2014-05-17
tetris_test\tetris_test.asm.rpt, 7827 , 2014-04-24
tetris_test\tetris_test.cdf, 319 , 2014-04-24
tetris_test\tetris_test.done, 26 , 2014-04-24
tetris_test\tetris_test.fit.rpt, 202362 , 2014-04-24
tetris_test\tetris_test.fit.smsg, 513 , 2014-04-24
tetris_test\tetris_test.fit.summary, 623 , 2014-04-24
tetris_test\tetris_test.flow.rpt, 7829 , 2014-04-24
tetris_test\tetris_test.map.rpt, 154192 , 2014-04-24
tetris_test\tetris_test.map.summary, 481 , 2014-04-24
tetris_test\tetris_test.pin, 27094 , 2014-04-24

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 696522资源总数
  • 104040会员总数
  • 35今日下载