登录
首页 » Vivado » CAN

CAN

于 2020-04-03 发布
0 137
下载积分: 1 下载次数: 3

代码说明:

说明:  ZYNQ中 PS 端 CAN接口的基本使用方法,并通过 CAN接口实现与 PC 端 CA N调试软件之间的数据接收和发送(The basic use method of PS end can interface in zynq, and the data receiving and sending with PC end can debugging software through can interface)

文件列表:

CH13_CAN\Miz_sys\ip_upgrade.log, 9720 , 2019-06-17
CH13_CAN\Miz_sys\miz701n.tcl, 16767 , 2018-01-09
CH13_CAN\Miz_sys\Miz_sys.cache\wt\gui_handlers.wdf, 6493 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.cache\wt\gui_resources.wdf, 9372 , 2018-02-22
CH13_CAN\Miz_sys\Miz_sys.cache\wt\java_command_handlers.wdf, 3758 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.cache\wt\project.wpc, 123 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.cache\wt\synthesis.wdf, 5404 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.cache\wt\synthesis_details.wdf, 100 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.cache\wt\webtalk_pa.xml, 7251 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.hw\Miz_sys.lpr, 290 , 2018-01-09
CH13_CAN\Miz_sys\Miz_sys.ip_user_files\bd\system\sim\system.v, 5752 , 2019-05-30
CH13_CAN\Miz_sys\Miz_sys.ip_user_files\mem_init_files\libps7.dll, 471040 , 2019-05-30
CH13_CAN\Miz_sys\Miz_sys.ip_user_files\mem_init_files\libps7.so, 336328 , 2019-05-30
CH13_CAN\Miz_sys\Miz_sys.ip_user_files\mem_init_files\libremoteport.dll, 369152 , 2019-05-30
CH13_CAN\Miz_sys\Miz_sys.ip_user_files\mem_init_files\libremoteport.so, 63704 , 2019-05-30
CH13_CAN\Miz_sys\Miz_sys.ip_user_files\mem_init_files\ps7_init.h, 5222 , 2019-05-30
CH13_CAN\Miz_sys\Miz_sys.ip_user_files\mem_init_files\ps7_init.html, 2985570 , 2019-05-30
CH13_CAN\Miz_sys\Miz_sys.ip_user_files\mem_init_files\ps7_init.tcl, 36650 , 2019-05-30
CH13_CAN\Miz_sys\Miz_sys.ip_user_files\mem_init_files\ps7_init_gpl.h, 5222 , 2019-05-30
CH13_CAN\Miz_sys\Miz_sys.ip_user_files\README.txt, 130 , 2018-01-09
CH13_CAN\Miz_sys\Miz_sys.runs\.jobs\vrs_config_1.xml, 421 , 2018-01-09
CH13_CAN\Miz_sys\Miz_sys.runs\.jobs\vrs_config_10.xml, 447 , 2019-05-22
CH13_CAN\Miz_sys\Miz_sys.runs\.jobs\vrs_config_11.xml, 475 , 2019-05-25
CH13_CAN\Miz_sys\Miz_sys.runs\.jobs\vrs_config_12.xml, 439 , 2019-05-30
CH13_CAN\Miz_sys\Miz_sys.runs\.jobs\vrs_config_13.xml, 439 , 2019-05-30
CH13_CAN\Miz_sys\Miz_sys.runs\.jobs\vrs_config_14.xml, 433 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.runs\.jobs\vrs_config_2.xml, 421 , 2018-01-24
CH13_CAN\Miz_sys\Miz_sys.runs\.jobs\vrs_config_3.xml, 465 , 2019-05-18
CH13_CAN\Miz_sys\Miz_sys.runs\.jobs\vrs_config_4.xml, 465 , 2019-05-18
CH13_CAN\Miz_sys\Miz_sys.runs\.jobs\vrs_config_5.xml, 465 , 2019-05-18
CH13_CAN\Miz_sys\Miz_sys.runs\.jobs\vrs_config_6.xml, 465 , 2019-05-18
CH13_CAN\Miz_sys\Miz_sys.runs\.jobs\vrs_config_7.xml, 465 , 2019-05-18
CH13_CAN\Miz_sys\Miz_sys.runs\.jobs\vrs_config_8.xml, 465 , 2019-05-18
CH13_CAN\Miz_sys\Miz_sys.runs\.jobs\vrs_config_9.xml, 465 , 2019-05-18
CH13_CAN\Miz_sys\Miz_sys.runs\impl_1\.init_design.begin.rst, 189 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.runs\impl_1\.init_design.end.rst, 0 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.runs\impl_1\.opt_design.begin.rst, 189 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.runs\impl_1\.opt_design.end.rst, 0 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.runs\impl_1\.place_design.begin.rst, 189 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.runs\impl_1\.place_design.end.rst, 0 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.runs\impl_1\.route_design.begin.rst, 189 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.runs\impl_1\.route_design.end.rst, 0 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.runs\impl_1\.vivado.begin.rst, 188 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.runs\impl_1\.vivado.end.rst, 0 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.runs\impl_1\.Vivado_Implementation.queue.rst, 0 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.runs\impl_1\.write_bitstream.begin.rst, 189 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.runs\impl_1\.write_bitstream.end.rst, 0 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.runs\impl_1\gen_run.xml, 6416 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.runs\impl_1\htr.txt, 413 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.runs\impl_1\init_design.pb, 2920 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.runs\impl_1\ISEWrap.js, 7308 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.runs\impl_1\ISEWrap.sh, 1623 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.runs\impl_1\opt_design.pb, 7328 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.runs\impl_1\place_design.pb, 7008 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.runs\impl_1\project.wdf, 3843 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.runs\impl_1\route_design.pb, 11508 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.runs\impl_1\rundef.js, 1422 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.runs\impl_1\runme.bat, 229 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.runs\impl_1\runme.log, 19626 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.runs\impl_1\runme.sh, 1291 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.runs\impl_1\system_wrapper.bit, 13321514 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.runs\impl_1\system_wrapper.hwdef, 373607 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.runs\impl_1\system_wrapper.sysdef, 433783 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.runs\impl_1\system_wrapper.tcl, 6632 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.runs\impl_1\system_wrapper.vdi, 19632 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.runs\impl_1\system_wrapper_clock_utilization_routed.rpt, 8525 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.runs\impl_1\system_wrapper_control_sets_placed.rpt, 2654 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.runs\impl_1\system_wrapper_drc_opted.pb, 37 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.runs\impl_1\system_wrapper_drc_opted.rpt, 1318 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.runs\impl_1\system_wrapper_drc_opted.rpx, 105 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.runs\impl_1\system_wrapper_drc_routed.pb, 37 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.runs\impl_1\system_wrapper_drc_routed.rpt, 1321 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.runs\impl_1\system_wrapper_drc_routed.rpx, 106 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.runs\impl_1\system_wrapper_io_placed.rpt, 215443 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.runs\impl_1\system_wrapper_methodology_drc_routed.pb, 52 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.runs\impl_1\system_wrapper_methodology_drc_routed.rpt, 1432 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.runs\impl_1\system_wrapper_methodology_drc_routed.rpx, 133 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.runs\impl_1\system_wrapper_opt.dcp, 431772 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.runs\impl_1\system_wrapper_placed.dcp, 431990 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.runs\impl_1\system_wrapper_power_routed.rpt, 8025 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.runs\impl_1\system_wrapper_power_routed.rpx, 56432 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.runs\impl_1\system_wrapper_power_summary_routed.pb, 721 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.runs\impl_1\system_wrapper_routed.dcp, 467573 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.runs\impl_1\system_wrapper_route_status.pb, 44 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.runs\impl_1\system_wrapper_route_status.rpt, 588 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.runs\impl_1\system_wrapper_timing_summary_routed.rpt, 7326 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.runs\impl_1\system_wrapper_timing_summary_routed.rpx, 3946 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.runs\impl_1\system_wrapper_utilization_placed.pb, 289 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.runs\impl_1\system_wrapper_utilization_placed.rpt, 8071 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.runs\impl_1\usage_statistics_webtalk.html, 51498 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.runs\impl_1\usage_statistics_webtalk.xml, 77376 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.runs\impl_1\vivado.jou, 755 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.runs\impl_1\vivado.pb, 149 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.runs\impl_1\write_bitstream.pb, 2884 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.runs\synth_1\.vivado.begin.rst, 188 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.runs\synth_1\.vivado.end.rst, 0 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.runs\synth_1\.Vivado_Synthesis.queue.rst, 0 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.runs\synth_1\.Xil\system_wrapper_propImpl.xdc, 22122 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.runs\synth_1\dont_touch.xdc, 884 , 2019-06-17
CH13_CAN\Miz_sys\Miz_sys.runs\synth_1\gen_run.xml, 2162 , 2019-06-17

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 696524资源总数
  • 103957会员总数
  • 51今日下载