登录
首页 » C/C++ » 华为 Verilog基本电路设计指导书

华为 Verilog基本电路设计指导书

于 2020-07-04 发布
0 68
下载积分: 1 下载次数: 4

代码说明:

说明:  华为 Verilog基本电路设计指导书--本文列举了大量的基本电路的Verilog HDL 代码,使初学者能够迅速熟悉基本的HDL 建模;同时也列举了一些常用电路的代码(Huawei Verilog basic circuit design instruction)

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 696524资源总数
  • 103833会员总数
  • 52今日下载