登录
首页 » Verilog » dds

dds

于 2020-11-10 发布
0 56
下载积分: 1 下载次数: 0

代码说明:

说明:  实现数字频率合成实验,加载数据ram,形成波形(The experiment of digital frequency synthesis is realized, and the data RAM is loaded to form the waveform)

文件列表:

dds\design\ex_dds -1.v, 1454 , 2020-10-15
dds\design\ex_dds.v, 2084 , 2020-10-15
dds\matlab_sim\gen.m, 464 , 2020-10-14
dds\matlab_sim\gen_sin_wave.m, 568 , 2020-10-14
dds\matlab_sim\sp_ram_256x8.coe, 1260 , 2020-10-14
dds\matlab_sim\sp_ram_256x8.mif, 2195 , 2020-10-14
dds\sim\altera_lib\blk_mem_gen_v8_4.v, 171256 , 2020-10-14
dds\sim\altera_lib\mult_8x8_10_sim_netlist.v, 86102 , 2020-10-15
dds\sim\ex_dds.cr.mti, 2 , 2020-10-15
dds\sim\ex_dds.mpf, 115404 , 2020-10-15
dds\sim\run.do, 375 , 2020-10-15
dds\sim\sp_ram_256x8.mif, 2304 , 2020-10-14
dds\sim\tb_ex_dds.v, 303 , 2020-10-15
dds\sim\vsim.wlf, 13279232 , 2020-10-15
dds\sim\work\@_opt\_lib.qdb, 49152 , 2020-10-14
dds\sim\work\@_opt\_lib1_0.qdb, 32768 , 2020-10-14
dds\sim\work\@_opt\_lib1_0.qpg, 16384 , 2020-10-14
dds\sim\work\@_opt\_lib1_0.qtl, 28494 , 2020-10-14
dds\sim\work\@_opt\_lib2_0.qdb, 32768 , 2020-10-14
dds\sim\work\@_opt\_lib2_0.qpg, 57344 , 2020-10-14
dds\sim\work\@_opt\_lib2_0.qtl, 24337 , 2020-10-14
dds\sim\work\@_opt\_lib3_0.qdb, 32768 , 2020-10-14
dds\sim\work\@_opt\_lib3_0.qpg, 32768 , 2020-10-14
dds\sim\work\@_opt\_lib3_0.qtl, 18607 , 2020-10-14
dds\sim\work\@_opt\_lib4_0.qdb, 32768 , 2020-10-14
dds\sim\work\@_opt\_lib4_0.qpg, 663552 , 2020-10-14
dds\sim\work\@_opt\_lib4_0.qtl, 57086 , 2020-10-14
dds\sim\work\@_opt\_lib5_0.qdb, 32768 , 2020-10-14
dds\sim\work\@_opt\_lib5_0.qpg, 8192 , 2020-10-14
dds\sim\work\@_opt\_lib5_0.qtl, 3082 , 2020-10-14
dds\sim\work\@_opt1\_lib.qdb, 49152 , 2020-10-15
dds\sim\work\@_opt1\_lib1_0.qdb, 32768 , 2020-10-15
dds\sim\work\@_opt1\_lib1_0.qpg, 16384 , 2020-10-14
dds\sim\work\@_opt1\_lib1_0.qtl, 75061 , 2020-10-15
dds\sim\work\@_opt1\_lib2_0.qdb, 32768 , 2020-10-15
dds\sim\work\@_opt1\_lib2_0.qpg, 49152 , 2020-10-14
dds\sim\work\@_opt1\_lib2_0.qtl, 28054 , 2020-10-15
dds\sim\work\@_opt1\_lib3_0.qdb, 32768 , 2020-10-15
dds\sim\work\@_opt1\_lib3_0.qpg, 24576 , 2020-10-14
dds\sim\work\@_opt1\_lib3_0.qtl, 21260 , 2020-10-15
dds\sim\work\@_opt1\_lib4_0.qdb, 32768 , 2020-10-15
dds\sim\work\@_opt1\_lib4_0.qpg, 753664 , 2020-10-15
dds\sim\work\@_opt1\_lib4_0.qtl, 58063 , 2020-10-15
dds\sim\work\@_opt1\_lib5_0.qdb, 32768 , 2020-10-15
dds\sim\work\@_opt1\_lib5_0.qpg, 24576 , 2020-10-15
dds\sim\work\@_opt1\_lib5_0.qtl, 14296 , 2020-10-15
dds\sim\work\_info, 5230 , 2020-10-15
dds\sim\work\_lib.qdb, 49152 , 2020-10-15
dds\sim\work\_lib1_6.qdb, 32768 , 2020-10-15
dds\sim\work\_lib1_6.qpg, 131072 , 2020-10-15
dds\sim\work\_lib1_6.qtl, 118257 , 2020-10-15
dds\sim\work\_vmake, 29 , 2020-10-15
dds\vivado_prj\ex_dds\ex_dds.cache\ip\2020.1\71818fda10c85a03\71818fda10c85a03.xci, 6180 , 2020-10-15
dds\vivado_prj\ex_dds\ex_dds.cache\ip\2020.1\71818fda10c85a03\mult_8x8_10.dcp, 45335 , 2020-10-15
dds\vivado_prj\ex_dds\ex_dds.cache\ip\2020.1\71818fda10c85a03\mult_8x8_10_sim_netlist.v, 86282 , 2020-10-15
dds\vivado_prj\ex_dds\ex_dds.cache\ip\2020.1\71818fda10c85a03\mult_8x8_10_sim_netlist.vhdl, 129160 , 2020-10-15
dds\vivado_prj\ex_dds\ex_dds.cache\ip\2020.1\71818fda10c85a03\mult_8x8_10_stub.v, 1334 , 2020-10-15
dds\vivado_prj\ex_dds\ex_dds.cache\ip\2020.1\71818fda10c85a03\mult_8x8_10_stub.vhdl, 1503 , 2020-10-15
dds\vivado_prj\ex_dds\ex_dds.cache\wt\gui_handlers.wdf, 3980 , 2020-10-15
dds\vivado_prj\ex_dds\ex_dds.cache\wt\java_command_handlers.wdf, 1080 , 2020-10-15
dds\vivado_prj\ex_dds\ex_dds.cache\wt\project.wpc, 61 , 2020-10-15
dds\vivado_prj\ex_dds\ex_dds.cache\wt\synthesis.wdf, 5551 , 2020-10-15
dds\vivado_prj\ex_dds\ex_dds.cache\wt\webtalk_pa.xml, 4324 , 2020-10-15
dds\vivado_prj\ex_dds\ex_dds.hw\ex_dds.lpr, 290 , 2020-10-14
dds\vivado_prj\ex_dds\ex_dds.ip_user_files\ip\mult_8x8_10\mult_8x8_10.veo, 2994 , 2020-10-15
dds\vivado_prj\ex_dds\ex_dds.ip_user_files\ip\mult_8x8_10\mult_8x8_10.vho, 3244 , 2020-10-15
dds\vivado_prj\ex_dds\ex_dds.ip_user_files\ip\mult_8x8_10\mult_8x8_10_stub.v, 1224 , 2020-10-15
dds\vivado_prj\ex_dds\ex_dds.ip_user_files\ip\mult_8x8_10\mult_8x8_10_stub.vhdl, 1333 , 2020-10-15
dds\vivado_prj\ex_dds\ex_dds.ip_user_files\ip\sp_ram_256x8\sp_ram_256x8.veo, 3105 , 2020-10-14
dds\vivado_prj\ex_dds\ex_dds.ip_user_files\ip\sp_ram_256x8\sp_ram_256x8.vho, 3383 , 2020-10-14
dds\vivado_prj\ex_dds\ex_dds.ip_user_files\ip\sp_ram_256x8\sp_ram_256x8_stub.v, 1325 , 2020-10-14
dds\vivado_prj\ex_dds\ex_dds.ip_user_files\ip\sp_ram_256x8\sp_ram_256x8_stub.vhdl, 1449 , 2020-10-14
dds\vivado_prj\ex_dds\ex_dds.ip_user_files\ipstatic\hdl\mult_gen_v12_0_vh_rfs.vhd, 1312104 , 2020-10-15
dds\vivado_prj\ex_dds\ex_dds.ip_user_files\ipstatic\hdl\xbip_bram18k_v3_0_vh_rfs.vhd, 104788 , 2020-10-15
dds\vivado_prj\ex_dds\ex_dds.ip_user_files\ipstatic\hdl\xbip_pipe_v3_0_vh_rfs.vhd, 31691 , 2020-10-15
dds\vivado_prj\ex_dds\ex_dds.ip_user_files\ipstatic\hdl\xbip_utils_v3_0_vh_rfs.vhd, 187872 , 2020-10-15
dds\vivado_prj\ex_dds\ex_dds.ip_user_files\ipstatic\simulation\blk_mem_gen_v8_4.v, 171256 , 2020-10-14
dds\vivado_prj\ex_dds\ex_dds.ip_user_files\mem_init_files\sp_ram_256x8.coe, 1260 , 2020-10-14
dds\vivado_prj\ex_dds\ex_dds.ip_user_files\mem_init_files\sp_ram_256x8.mif, 2304 , 2020-10-14
dds\vivado_prj\ex_dds\ex_dds.ip_user_files\mem_init_files\summary.log, 901 , 2020-10-14
dds\vivado_prj\ex_dds\ex_dds.ip_user_files\README.txt, 130 , 2020-10-14
dds\vivado_prj\ex_dds\ex_dds.ip_user_files\sim_scripts\mult_8x8_10\activehdl\compile.do, 1205 , 2020-10-15
dds\vivado_prj\ex_dds\ex_dds.ip_user_files\sim_scripts\mult_8x8_10\activehdl\file_info.txt, 762 , 2020-10-15
dds\vivado_prj\ex_dds\ex_dds.ip_user_files\sim_scripts\mult_8x8_10\activehdl\glbl.v, 1762 , 2020-05-28
dds\vivado_prj\ex_dds\ex_dds.ip_user_files\sim_scripts\mult_8x8_10\activehdl\mult_8x8_10.sh, 4927 , 2020-10-15
dds\vivado_prj\ex_dds\ex_dds.ip_user_files\sim_scripts\mult_8x8_10\activehdl\mult_8x8_10.udo, 0 , 2020-10-15
dds\vivado_prj\ex_dds\ex_dds.ip_user_files\sim_scripts\mult_8x8_10\activehdl\README.txt, 2191 , 2020-10-15
dds\vivado_prj\ex_dds\ex_dds.ip_user_files\sim_scripts\mult_8x8_10\activehdl\simulate.do, 391 , 2020-10-15
dds\vivado_prj\ex_dds\ex_dds.ip_user_files\sim_scripts\mult_8x8_10\activehdl\wave.do, 32 , 2020-10-15
dds\vivado_prj\ex_dds\ex_dds.ip_user_files\sim_scripts\mult_8x8_10\ies\file_info.txt, 762 , 2020-10-15
dds\vivado_prj\ex_dds\ex_dds.ip_user_files\sim_scripts\mult_8x8_10\ies\glbl.v, 1762 , 2020-05-28
dds\vivado_prj\ex_dds\ex_dds.ip_user_files\sim_scripts\mult_8x8_10\ies\mult_8x8_10.sh, 5740 , 2020-10-15
dds\vivado_prj\ex_dds\ex_dds.ip_user_files\sim_scripts\mult_8x8_10\ies\README.txt, 2132 , 2020-10-15
dds\vivado_prj\ex_dds\ex_dds.ip_user_files\sim_scripts\mult_8x8_10\ies\run.f, 790 , 2020-10-15
dds\vivado_prj\ex_dds\ex_dds.ip_user_files\sim_scripts\mult_8x8_10\modelsim\compile.do, 1330 , 2020-10-15
dds\vivado_prj\ex_dds\ex_dds.ip_user_files\sim_scripts\mult_8x8_10\modelsim\file_info.txt, 762 , 2020-10-15
dds\vivado_prj\ex_dds\ex_dds.ip_user_files\sim_scripts\mult_8x8_10\modelsim\glbl.v, 1762 , 2020-05-28
dds\vivado_prj\ex_dds\ex_dds.ip_user_files\sim_scripts\mult_8x8_10\modelsim\mult_8x8_10.sh, 5084 , 2020-10-15
dds\vivado_prj\ex_dds\ex_dds.ip_user_files\sim_scripts\mult_8x8_10\modelsim\mult_8x8_10.udo, 0 , 2020-10-15
dds\vivado_prj\ex_dds\ex_dds.ip_user_files\sim_scripts\mult_8x8_10\modelsim\README.txt, 2191 , 2020-10-15

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 696532资源总数
  • 103702会员总数
  • 113今日下载