登录
首页 » Others » PCIe3.0协议

PCIe3.0协议

于 2020-11-28 发布
0 112
下载积分: 1 下载次数: 1

代码说明:

该协议是PCIe3.0协议完整版,介绍了PCIe的组成部分、工作原理有助于软件、硬件开发人员了解和应用PCIe

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 红色飓风E45开发板实验手册与用户手册
    红色飓风E45 FPGA开发板的实验手册与用户手册,很好的学习资料REDOSimple DevelopmentCYCLONE FPGA Design Expert红色飓风E45实验手册目录前言实验指导手册使用说明:第一章使用介绍1概述2lSL122设计过程63建立工程设计输入5设计仿真6综7管脚分配148设计实现159程序下载.1610调试与在线逻辑分析仪的使用19第二章数字电路与数字系统实验第一部分基础实验实验一3/8译码器.25实验二十进制计数器实验36-实验三按键及拨仍开关实验实验四蜂鸣器“梁祝”乐曲演奏38实验五LLD流水灯实验.实验六数码管扫描显小-40-实验七字符型ICD显示实验43-第二部分中级实验实验八串口通信实验45实验九VGA输出八色彩条实验-49-实验十VGA显示乒乓球实验实验十一PS2显示LCD实验实验十二PS2显小串口实验56实验十三PS2鼠标显示LED实验实验十四分频器设计实验实验十五正弦函数发生器实验…实验十六AD转换实验68-第三部分高级实验实验十七IR滤波器实验|八USB输入输出实验∴…实验十九音频输入输出实验…∴84-北京威视锐科技有限公司Web(中国)(国际)REDOSimple DevelopmentCYCLONE FPGA Design Expert红色飓风E45实验手册第四部分存储器实验实验二|双口RAM仿真实现85实验二十一SRAM读写实验…-86实验二十二 EEPROM读写实验-89-实验二十三 SDRAM读写实验第五部分软嵌入式系统操作讲解北京威视锐科技有限公司Web(中国)(国际REDOSimple DevelopmentCYCLONE FPGA Design Expert红色飓风E45实验手册第一章使用介绍概述ISE是使用ⅩLLNX的FPGA的必备的设计工具,它可以完成FPGA开发的全部流程,包括设计输入、仿真、综合、布局布线、生成BIT文件、配置以及在线调试等,功能非常强大,对于大多数FPGA设计者米说,使用ISE就可以完成设计任务,取得满意的效果。这个实验手册针对的ⅠSE版木是12.2,ISD12.2增强了部分可重配置功能,逻辑综合平均速度提升了2倍,大型设计实施运行速度加快了1.3倍,同吋强化了嵌入式设计的方法。当然,用其他版本的ISE也能进行手册上的实验。北京威视锐科技有限公司Web(中国)(国际)REDOSimple DevelopmentCYCLONE FPGA Design Expert红色飓风E45实验手册设计过程设计验证设计输入行为仿真综功能仿真设计实现「静态时序时序仿真配置下载在线调试图1.2.1ISE设计过程建立工程双击桌面上的 Xilinx ise12.2的快捷图标启动ISE集成开发环境。新建工程,如图1.3.1,1.3.2和1.33所示:北京威视锐科技有限公司Web(中国)(国际)REDOSimple DevelopmentCYCLONE FPGA Design Expert红色飓风E45实验手册Enter a name locatios, and comment for the projectsmp日LI:V3FPGh1Worki:g Directory: D: V3FPGhTop-levelP比LLMlxg工nf图1.3.1Project SettinGspecify device and project propertie⊥ ow for thErice距CES瓶45Loperty Specification in Project Fiore notrdefault values olyAnalysis stV山L3三b1。 Message fi1tCancel图1.32北京威视锐科技有限公司Web(中国)(国际)REDOSimple DevelopmentCYCLONE FPGA Design Expert红色飓风E45实验手册File edit y1E No single design modile4回5图1.3在新建工程时,需要注意一下几点(1)工程名,最好用英文不要有汉字,因为ISE下有些工具对于含有汉字的文件目录支持不是很好。(2)仿真工具 Modelsim-SE是第三方软件,需要另外安装。也可使用ISE自带的Isim。设计输入1.设计输入就是将一个概念设计转换为使件描述的过程。可以利川多种不同方式打开新建源代码智能向导,如图1.4.1所示:2.ISE支持多种新建文件类型,如图14.2所示:IP( coregen& Architecture Wizard)ISE软件中提供的各种IP。Schematic:原理图源代码。User document:用户文档。● Verilog Module: Verilog模块Verilog Test Fixture: erilog模块测试激励北京威视锐科技有限公司Web(中国)(国际)REDOSimple DevelopmentN∈ FPGA Design Expert红色飓风E45实验手册● VHDL Module:VHDL模块VHDL Library:VHDL厍文件。VHDL Package:VHDL包文件。● Embedded Processor:嵌入式处理器文件等各种不同的类犁。seISE Project Navi gator (M63c)-D:v3FPGAex ample.xiseFile edit View Project Source PrTools indow LHelp百Ⅹview:图mp1 em entail M Si熊部145-3fge484新建源文件Lew SourceThe vie目 dd sourceFiles. yorAdd Copy of SourccommanManual Compile OrderTEnu anp工 mplement Top ModuleprOpRFile/Path Displ图1.4.1新建源代码方式selecrca type, file name and its lD目P地Verilog Modulverilog fes. F:FilMore lntHCancel北京威视锐科技有限公司Web(中国)(国际)REDOSimple DevelopmentCYCLONE FPGA Design Expert红色飓风E45实验手册图1.4.2工程所支持的文件格式3. Verilog或VHDL源代码输入模板ISE软件提供了很多源代码模板用使用者参考设计,如图1.4.3所示:I: 13FFile edit vien prL4□百XW队a:M3m,/ Notc: CLI muot bc dcfinc回amyl彐中□ Levice fEcr I,ss begi白uk3Lnu1u拜PER-D/2sRu⊥,LBE tTon-50% Duty C由-□卫1ays9|2n中 c synthesize-XsT由2 Implant3ai8DesLanguage Tenlates EError且Br0rs四【x出1AC的11s取:图14.3源代码模板4.源代码语法检查。源代码设计完成后,在进行其他操作以前需要先对设计源代码进行语法检查,保证没有语法错误。双 Synthesize -XST下的 Check Syntax,即进行语法检查,如图1.44所示:北京威视锐科技有限公司Web(中国)(国际)
    2020-11-30下载
    积分:1
  • 基于python写的串口调试助手,内含.exe序和源代码
    这个是用python编写的串口调试助手,内含可执行程序和源代码,有详细注释,还有运行代码所必须的dll库文件,保证好用,希望对大家有帮助
    2020-11-29下载
    积分:1
  • matlab 图像离散余弦变换(源代码)
    matlab 图像离散余弦变换(源代码)
    2020-12-11下载
    积分:1
  • C# CAD画图软件
    C#开发的超级棒的仿cad画图软件源码支持拖动,支持撤销支持元素捡取支持鼠标操作画图超棒的软件
    2020-12-06下载
    积分:1
  • 立方体线框模型透视投影 (计算机图形学实验)
    计算机图形学实验 立方体线框模型透视投影 的可执行文件,亲测可运行,若需报告可以联系我,期待和各位交流
    2020-12-11下载
    积分:1
  • pscad同步风机模型.psc
    【实例简介】这是pscad的同步风机模型,对于使用pscad的初学者非常有用。
    2021-11-21 00:53:25下载
    积分:1
  • OFDM信道估计算法LS算法.rar
    【实例简介】该资源主要描述了OFDM信道以及信道估计算法,对LS算法进行了详细的论述、研究和仿真。
    2021-12-05 00:32:53下载
    积分:1
  • 浮点数c语言除法模拟源码
    用c模拟浮点数硬件除法 有四舍五入及特殊情况分析
    2020-12-02下载
    积分:1
  • 语音信号倒谱的matlab
    我自己编写的求语音信号倒谱的程序,并且将求解结果和matlab工具箱自带的倒谱函数计算结果相对比,两者完全一致。通过这个程序,也可以加强对matlab自带函数的理解
    2020-11-30下载
    积分:1
  • jsp(Websocket) 实现web实时通讯
    java 采用Websocket实现web实时通讯功能,包括mysql数据库文件与视频
    2020-11-28下载
    积分:1
  • 696524资源总数
  • 103930会员总数
  • 47今日下载