登录
首页 » Others » 汽车噪声与振动-理论与应用

汽车噪声与振动-理论与应用

于 2020-12-05 发布
0 119
下载积分: 1 下载次数: 2

代码说明:

汽车NVH领域的经典入门读物,引领进入汽车振动噪声研究的最佳选择。第一章管道声学(1.12)时,声压幅值达到最大,反节点的位子是:(1.13)驻波是由频率相同的向右传播的入射波和向左传播的反射波迭加而成。驻波并不是运动的波,而是静止的,这是“驻”波名称的由来。波表示管道中的声音的模态。对於长度一定的管道来说,由于有许多频率的波,因此也就有很多驻波。这里所提到的驻波是假设管壁刚硬,所冇声波遇到管壁时全部被反射回来。可是实际上,管端壁不是完全刚性,因此反射波的声压不完全等於入射波声压,因此在节点处,入射波和反射波不可能完全抵消。但是这些点处的声压大部分被抵消,声压最低。第二节管道声阻抗阻抗是指当对媒质受到压力或者搾动力时,媒质会对传播产生阻碍。管道中的声学阻抗Z,定义声压与质点体积速度的比值,即(1.14)式中,u,U和S分别是管道中的速度,体积速度和截面积。体积速度与质点速度的关系为:L=SL。声吝在管道內传播,当管道的截面积发生变化的时候,声阻抗也发生变化。图1.3是截面积变化的管道,在变截面的地方,由于阻抗发生变化,一部分入射波就会被发射回原来的管道而另一部分入射波会在新的截面管道中继续传播。抗性消音器的工作原就是基于这种阻抗的变化。声波从发动机出来并在进气或者排气系统中传播,当遇到消音元件或者截面积变化时,入射声波被反射回发动机声源,从而抑制声音的传播。进排气系统中声阻抗不匹配的情况主要有截面积变化,主管道中插入了其他管道(如旁支消音器等),管道开口通往大气等等图1.3截面积变化的管道进排气系统中管道的长度都是有限的。图1.4表小一个长度为L的管道。假改管道两端的声阻抗分别已知,即在=处,声阻抗为,在=处,声阻抗为由公式(1.6)和(1.9),可以得到管道中仟一点的声阻抗为管道声学图1.4长度为L的管道将=代入公式(1.15)中,得到该处的声阻抗为:将三代入公式(1.15)中,得到该处的声阻抗:公式(17)可以重新写成下面的形式18将方程(1.16)代入到方程(1.18中,消除和,就得到输入声阻抗和输出声阻抗的关系,如下第一章管道声学(1.20第三节管口封闭与管口敞廾声波从管道入口端发射出来,传播到尾端。管道尾端通常有两种情况,一种是开口的,如进气管口,排气尾管口;另一种是封口的,如四分之一波长管。下面就来分析这两种尾端的声学特征。1.开∏-封闭管道图1.5表示管道尾端封闭状况。声音在管道里问石传播,当声波碰到刚性的封闭端时,声波被全部反弹冋来,再向左传播管口封闭图1.5开凵封闭管道对一个刚性的封闭口来说,其声阻抗为无穷大,即>0,根据公式(1.19),得到:1.21)声阻抗可以写成下面的形式:(1.22)式中R和粉别是阻抗的实部和虚部,R为声阻,称为声抗。声阻取决于结构的材料特性,而声抗则取决」结构的儿何特性。当声抗为零的时候,结构就发生共振。公式(1.21)中的声阻抗也可以写成公式(1.22)那样的形式,为(1.23)上式如果满足下亩的条件:(1.24)即,那么这个开口-封闭管道就发生共振,其固有频率为:(1.25)当n-=1,2,3,.,时,分别对应著管道第·阶、第二阶、第三阶,,.,等阶次频率图1.6是管道声波的第一阶和第阶模态。这个声波在封闭端时,声压达大最大值,然后发射第一章管道声学到入口处,使得入口端的声压为零,即在开口端形成驻波节点。四分之一波长管就是应用这个原理来工作的。图1.6管道声波的第一阶模态(A)和第二阶模态(B)公式(1.25)可以转变为管道长度与波长的关系,表达如下1.26)当n=1时,管道的长度是波长的四分之,即:。所以这种开∏封闭的管道通常叫著四分之一泼长管2.开口开口答道图1.7为一个尾端开口的管」。声波从入口端向右传播进入开口端时,声音与大气产生声耦合。大气的辐射声阻抗会将一部分声波返回管口敞开图1.7开口-开口管道声波在尾端的声阻抗为周围坏境的声阻抗,也就是说这个声阻抗不为零。为了使问题简化起见,我们先假设这个阻抗为零,然后再对所得到的结构进行修正。如果在x=处的声阻抗为零,那么由公式(1.19)可以得到下式(1.27)同样,当这个声阻抗中的声抗为零的吋候,管道就发生共振,这时必须满足:即:这时,开口-开口管道的共振频率为:当n=1,2,3,,时,分别对应著管道第一阶、第二阶、第三阶,.,等阶次频率第一章管道声学图1.8是开口-开口管道声波的第一阶和第二阶模态。图1.8开口-开口管道的第一阶模态(A)和第二阶模态(B)公式(1.30)可以转变为管道长度与波长的关系,衣达如下(1.31)3.开口管道的修正在推导尾端廾口公式时,我们假设了出口周围坯境的声阻抗为零,但是实际上这个阻抗不为零,因此必须对公式(1.27-1.31)的结论做修止。对图1.9这样的开∏终端,被称为自由自由开口。该开口处的声阻抗为:等效管图1.9自山开口-开口修正管道山于管道的直径非常小,因此和都远远小于1。山公式(1.27)和(1.32)得到:(1.33)这样,管道内的频率为34)管道长度与波长的关系为(1.35这样管道的长度比声阻抗为零的时候要短些,也就是说好像有一根等效的延长管与原来的管道相连接。管道的计算长度就是实际管子长度加上等效延长管长度△即第一章管道声学(1.36有时侯,在出口管处还会加类似与法兰的结构,如图1.10所示。这时,有效延长管的长度为△实际管子的长度为:△式中是管子的计算长度发等效管图1.10法兰开口-开口修正管道第四节四端网终分析进气系统或者排气系统都是有很多管道和消音元件组成。分析整个系统往往是非常复杂的,但是如果将系统分解到一些小的段落,那么分析起来就相对容易些。得到了每个段落或者是每个部件的分析结果,然后将之合成起来就得到了整个系统的结果。四端网络分析就是这种分析方法,在管道声学分析中得到了广泛的应用。对於管道中一小段质量(如图1.11)来说,动力方程可以写成如下:(1.39)式中,S是管道的截面积,是这个小质量段的长度,和分别是质量端两边的压力图1.11管道中一小段质量的受力分析公式(1.39)可以表达为(1.40)第一章管道声学对这一小段质量来说,假设两边的速度是相等的,即将这公式(1.40)和(1.41)写成矩阵形式,得到:(1.42)公式(1.42)建立起这段小质量块两边的压力和速度的关系。管道中小段质量块后端的压力和速度可以用它前端的压力和速度来表示。也就是说质量块后端与前端之间建立起来一种传递关系。同样对一个长度为L的管道(如图1.4所示)也可以得到管道两端的传递关系。在=处的压力和速度可以通过公式(1.6)和(1.9)分别求得(1.13)由以上两式可以得到和,如下:45(1.46根据公式(6)和(9),在处的压力和速度分别为将公式(1.45)和(1.46)中和的表达式代入公式(1.47)和(1.48)之中,就得到管道入冂与出∏之间声压和速度之间的关系,为:+49将公式(1.49)和(1.50)写成如下的矩阵形式第一章管道声学这样就得到了管道两边的压力和速度的传递关系。公式(1.51)可以简单地写成如下形式式中,被称为传递矩阵。如果管道的传递矩阵知道,那么只要知道管道端的压力和速度,就可以通过传递矩阵算出另一端的压力和速度。在传递矩阵两边分别是两个输入参数和两个输出参数。这四个参数的关系由传递矩阵来确定,因此这种表达方式称为四端网络法。上面介绍了小段质量和长度为L的管道的传递矩阵表达方法。这种方法可以推广到任何一个声学元件,其输入端和输出端的声压和速度都可以用四端网络米表示。图1.12代表某个声学元件i。图1.12一个管道元件的四端网终图这个元件两边的压力和速度关系为式中是传递矩阵,是传递矩阵系数。汽车的进气系统包括进气管道、空气过滤器、赫耳姆兹消音器、四分之波长管等。排气系统包括排气多支管、催化器、谐振器、消音器和管道等。一个系统如果由N个元件组成。而且每个元件的传递矩阵都知道,那么出声口的声压和速度就可以用声源的声压和速度来表示如下形式:(1.54)式中的L1是系统的传递矩阵,如下形式(1.55)

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • Simplorer内部培训资料
    Simplorer V9的培训资料,非常详细,一共407页的PDF,看完这个PDF,相信你已经是simplorer高手了。Conventions Used in this GuideGetting HelpAnsoft Technical SupportHelp MenuContext-Sensitive Help1. IntroductionOverview of the Simplorer Interface .........1-32. Creating a New ProjectAbout the three-Phase rectifier2-2Expected Results2-3Using Simplorer to Create and Improve the Design2-4Creating the new project2-5Add the New project2-5Rename the designAdd Design Notes(Optional)Save the New project2-73. Create the rectifier modelCreate the Three-Phase rectifier Schematic. 3-2Choosing, Placing, and Arranging Components onthe schematic she.3-3Connecting the Components3-8Defining Component Properties3-9Property Displays for Components3-13Specifying simulation Outputs.3-16Defining Solution Options and Analysis Parameters3-18Starting an Analysis3-19Plotting Rectifier Model Simulation Results3-204. Hysteresis Current-Controlled DC-Motor Start-UpModify the Rectifier Model Design.4-2Deleting the Resistive/Inductive Load,4-3Saving the sheet with a New Name4-3Place and Arrange the New Components4-4Connect the New Components.,,,,,,4-5Defining dc Machine valuesDefining Mechanical Load4-7Freewheeling Diode4-8Chopper Transistor4-8Controller Modeling using block elements..4-9Modifying Report Elements...4-11Display Diode CharacteristicDefining Simulation Parameters.4-13Starting Simulation(Block Components.4-13Simulation Results(Block Components)... 4-14Controller Modeling Using State Graph Components4-15Connecting the State Graph Components4-16Defining Properties of State Graph Components 4-Using Name References4-19Deactivating Components on the sheet4-19Starting Simulation(State Graph)...4-21Simulation Results(State Graph)4-225. Current and Speed Controlled Dc MotorModify the State graph design5-2Deleting the State GraphSaving the sheet with a New namePlacing and Arranging the New Block Componentson the sheet5-4Connecting the New ComponentsDefining Mechanical Load (Block)Defining the pl controller.........5-8Starting Simulation..5-12Adding a Rectangular Plot(Pl Controller)... 5-13Adjusting Plot Properties.5-14Checking the block Sequence..5-16Using Automatic Block Sorting5-16Using Manual Block Sorting5-16Rerun the Simulation(PI Controller)Simulation Results(PI Controller)5-176. Using VHDL-AMS Components forModelingVHDL-AMS Components,,,,.6-2Modify the pl controller Design.6-3Save the project with a new name6-3Delete the dc Machine component6-4Placing and Arranging the New VHDL-AMs Components on the sheet6-4Connecting the New VHDL-AMS Components 6-4Defining VHDL-AMS DC Machine values6-4Defining Connections for Machine Current..6-5Defining Simulation ParametersAnalyze and Display Simulation Results(VHDLAMS6-67. Variants of PWM ModelingPWM Modeling Overview7-2Create a New Project for the pWm models ..7-3Setting Initial ConditionsPWM Modeling Using EquationsDefining Simulation Parameters7-4Displaying Simulation Results with Reports 7-4Simulation results 7-6PWM Modeling with Equations and Time Function7-6PWM Modeling with State Graph Components 7-8Place and arrange the components on the sheet7-8Define Component properties翻国7-9PWM Modeling with Block Diagram Components 7Placing and Arranging the Components on theSheet7-118. Importing Legacy SchematicsTranslating a Legacy Schematic..8-2Importing the legacy Schematic8-2Starting an analysis...,,8-6Plotting Simulation Results8-7Estimated time tooplete this guide45 minutes
    2020-11-27下载
    积分:1
  • RK3229 MIC
    RK3229 的多mic阵列麦方案,RK原厂提供,对于做多MIC客户用途比较大RaCkChpP瑞芯微电子福州瑞芯微电子股份有限公司目录目录3概述■1■■1■■1■1■■1■■1■1面■■■■■■4产品版本4适用对象41-概述,:■:■":■::■::::::::::::.::.::.::.::.::::":::::::::::::::::::1.1麦克风阵列EK廾发平台简介1.2麦克风阵列EVK框图.13麦克风阵列E∨K组件2麦克风阵列E硎K介绍..………82.1整体效果图82.2结构与接口示意图3麦克风阵列子板模块简述n113.16+0+2麦克风阵列方案说明113.2内、外圈园周阵列麦■重着国面重着国面重着国面日重面重面重面自重面自■重■,面■重■面■重■,面重■,面■113.3 Codec.3.4PA133.5 Loopback回采电路,,,,,,,,,133.6排针∴144注意事项∴.….154.1注意事项15Copyright 2015 @Fuzhou Rockchip Electronics Co., LtdRaCkChpP瑞芯微电子福州瑞芯微电子股份有限公司前言概述本文档主要介纽RK麦克风阵列EVK基本功能特点和硬件特性、硬件配置以及使用方法,旨在帮助相关开发人员更快、更准确地使用该EVK,进行麦克风阵列冫案的应用开发E∨K由RK3229主板与麦克风阵列子板共两部分组成,本文档着重介绍麦克风阵列相关内容,即麦克风阵列」板及其与RK3229主板相关联部分。涉及RK3229主板的其他功能,可参考《RK3229BOX开发板用户使用指南》以获取更详细信息。产品版本本文档对应的产品版本如下:品名称版本描述RK SDK BOX RK3229 Discrete PowerV1.0RK3229主板DDR3P416DD6V1020160120LⅩFRK BOX EVB MICARRAYTESTBOARDV1.06+0+2麦克风阵列子板RK3229V1020160901适用对象本文档主要适用于以下人员:技术支持工程师单板硬件开发工程师频算法工程师嵌入式软件开发工稈师测试工程师Copyright 2015 @Fuzhou Rockchip Electronics Co., LtdRaCkChpP瑞芯微电子福州瑞芯微电子股份有限公司缩略语缩略语指文档中常用的词组简称:内部整合电路(两线式串行通讯总I2CInter-Integrated Circuit线)I2SInter-IC Sound集成电路内置音频总线PAPowerAmplifier此处特指音频功率放大器RKRockchip lectronics Co. Ltd瑞芯微电子有限公司Copyright 2015 @Fuzhou Rockchip Electronics Co., LtdRaCkChpP瑞芯微电子福州瑞芯微电子股份有限公司1概述1.1麦克风阵列EVK开发平台简介RK3229芯片是一款包括NeOn和FPU协处理器在内的四核 ARM Cortex-A7处理器,主频1.5GHz;集成∫32 bits ddr3/DDR3L/ LPDDR2/ LPDDR3控制器,提供了高性能、髙分辨率应用程序所需要的内存带宽。芯片能胜任高分辨率(4K/60Hz)显示、多通道(8+2)音频处理和主流应用。芯片内置3路I2S接口,I2S1用于HDMI输出的音频处理,另外2路I2S0与I2S2可供用户自由分配。其中,I2S2支持同吋2通道输入与2通道输出,I2S0则可最扃支持至8通道输入或8通道输出。麦克风阵列E∨K是基于6+0+2名麦克风方案(6+0+2介绍见3.1章节)的硬件参考设计,同时RK3229主板将芯片资源都作了引出,方便客户验证、调试与二次开发,只需要简单修改参考设计的模块电路,就可以完成产品的硬件设计12麦克风阵列EvK框图RK3229主板使用12∨/2A适配器供电,通过UART串口进行调试,验证各功能模块。开发板配有HDMI输出, SPDIF输出,ⅥWIFI+BT模组, Etherne接口,USB接口,TF卡,红外接收以IR,CVBS视频接口等,非常有利于芯片方案的深入研发与快速产品化。主板与麦克风阵列子板通过10x2的2.54mm排线连接,音频接口包括5V电溟、1组I2S1信号、1组I2C信号与2个通用GPIO。详细资源使用情况见下图:Copyright 2015 @Fuzhou Rockchip Electronics Co., LtdRaCkChpP瑞芯微电子福州瑞芯微电子股份有限公司口RockchipRK3229Serug OARI2wskur Reset nimrod4x二C/D2XLDOPowerUSB HUST2J图1RK3229主板系统框图工C3Vf∞rMICaD5V王CC⊥K工CM工c5图2麦克风阵列子板系统框图13麦克风阵列EvK组件麦克风阵列EWK主要包括以下物品:RK3229主板麦克风阵列子板电源适配器,规格:输入100VAC240VAC,50;输出12VDC,2A2.54m20针排线Copyright 2015 @Fuzhou Rockchip Electronics Co., LtdRaCkChpP瑞芯微电子福州瑞芯微电子股份有限公司2麦克风阵列EW介绍2.1整体效果图麦克风阵列EWK整体实物图如下ppDJoZl (l esnw最w箱x思图1麦克风阵列EVK实物图Copyright 2015 @Fuzhou Rockchip Electronics Co., LtdRaCkChpP瑞芯微电子福州瑞芯微电子股份有限公司g88888图2麦克风阵列子板实物图-正面、背面2.2结构与接口示意图麦克风阵列了板PB布局如图所示外园麦克风、6个直径Rcm闪园麦先风、6个直径5cmCodecPAT排针Codec(6PA2喇刺叭翰出2RK BOX EVB NICARRAY TESTBOARD RK3226_2018%ad图5麦克风阵列子板PCB正面图Copyright 2015 @Fuzhou Rockchip Electronics Co., LtdRaCkChpP瑞芯微电子福州瑞芯微电子股份有限公司各模块及接∏说明如卜表表1麦克风阵列子板说明编号说明措述1选项1-外圈麦克风直径80mm6个数字麦克风2选项2-内圈麦克风直径50mm6个数字麦克风选3odec每个 Codec支持2路输出与2路输入PAD类音频功放芯片456喇叭输出2个喇叭输出排针与RK3229主板连接的排针Copyright 2015 @Fuzhou Rockchip Electronics Co., Ltd10
    2020-12-07下载
    积分:1
  • 米联的FPGA开发例很详细
    米联的FPGA开发例程很详细二三电子米联电子ww.orc. cn /ZYNO SOC修炼秘籍1Z702N南京米联电子出品1GB内存XC7Z020-CG484-1I型号:MiZ702N【MZ702升级】8 GB EMMC ARM A9双核■ARMA9双核667M■1024MB内存■8 GB EMMC(板载)a HDMI (ADV7511)RGM|千兆网口7000斯7m是2USB2.0高速■USB转串口VGA(565)输出TF接口音频接口■支持子卡核心板+底板高速接插件■专业电源管理第2页共1185二三电子米联电子ww.orc. cn /ZYNO SOC修炼秘籍MiZZOIN南京米联电子出品1GB内存XC72010/020CLG400型号:MiZ70N【Mz701升级】8 GB EMMC ARM A9双核■ARMA9双核667M1024MB内存■8 GB EMMC(板载■HDMI10模拟)ARMF部中■RGM||千兆网口黑!zM770MD020Angor eacH■USB20高速■UsB转串口■TF接口翻aVGA(子卡)输出音频接口(子卡■支持其他子卡核心板+底扳高速接插件■专业电源管理第3页共1185二三电子米联电子ww.orc. cn /ZYNO SOC修炼秘籍版本时间描述Rev1.02015-07-25第一版初稿Rey.12016-03-31更新26章节Rey.22016-04-10更新inux系统定制相关教程Rey.32016-04-31更新裸机部分23章及操作系统部分章节Rey1, 42016-05-08更新裸机部分24章及操作系统部分章节Rev1.52016-0605修复第15章自定义P生成的bugRev1.62016-06-21修复了第三章状态机的错误代码,提供了多个仿真例子Rev1.720160627重新调整了文誉结构内容排布更加合理增加了里利理论部分的代码分析Rey1.82016-07-12第三章中关于阻寒和非阻塞视频讲解概念混淆的纠正。REVt.92016-08-10增加OV725PvTG| P Video out|P使用讲解AX|- Strean协议和VDMA|P使用REV2,02016-08-14修改目录顺序把GA接口部分的讲解放到HDM之前讲解ⅫLNX自带的标准视频类P的使用包括 Video in ipDMA|P∧TCP∧ deo out ip给出了彩条测试,内存显示图片的测试。第4页1185二三电子米联电子ww.orc. cn /ZYNO SOC修炼秘籍封装了OV7725自定义P实现图片显示封装了OV5640自定义P实现图片显示REV212017-0228重大更新对之前的例子进行了完善,并且增加了很多新例子,删除一些不必要,不常用的例子REV2.22017-05-10重大更新,第三季SOC裸机更新到17课时;第四季L|UX更新到第七课时;第五季节更新到11课时;大量实战例子第5页共1185二三电子米联电子ww.orc. cn /ZYNO SOC修炼秘籍感谢您使用南京米联团队开发的MiZ7(MZ701NMZ702MIZ702N)开发板,在使用开发板前请认真阅读本手册,并且掌握如何正确使用开发板,不合理的操作会导致开发板损坏。此手册不断更新中,请下载最新版木。软什版本:ⅤVADO20154使用本手册提供的Ⅴ IVADO版本或者到赛灵思官网下载20154版本http://www.xilinx.com/support/download.html版权声明:木手册版权归南京米联电子科技有限公司所有,并保留一切权利,未经我司书面授权,擅自摘录或者修改本」册部分或者全部内容,我司有权追究其法律责任。技术支持:版主大神们都等着大家去提问-电子资源论坛www.osIc.cn微信公众平台:电子资源论坛第6页共1185二三电子米联电子ww.orc. cn /ZYNO SOC修炼秘籍目录目录【第季】 ZYNQ SOC开机及FPGA基础共12课.25S01CHo1开机程序测试∴261.1MZ70N开机测试连线图61.2MZ702N开机测试连线图13MIZ702开机测试连线图1.5 UBUNTU系统界面……291.7网口测试18美图欣赏S0lCH02 ZYNQ VIVADO软件安装……2 1 VIVADO软件介绍.22ⅥVADO软件安装适合所有 vlado安装)23 VIVADO软件注册…23本章小结.888245S01CH03USB卜载器驱动安装及下载程序3.1下载器驱动的安装453.2下载 runed工程的bit文件验证板子和下载器工作正常..463.3下载器使用需要注意的问题.474IⅤ erilog HDL代码规范.项目构架设计.接口时序设计规范4842技术背景43 Verilog最最基础浯法…44关键字5545 Verilog中数值表示的方式46阻塞赋值和非阻塞赋值详解...61SOI CHO5FPGA设计 Verilog基础()5.1状态机设计52一段式状态机6753两段式状态机…54三段式状态机70S0lCH06FPGA设计 Verilog基础(三)61完成的 Test bench文件结构62时钟激励设计,,63复位信号设计756.4特殊信号设计…65仿真控制语句及系统任务描述66加法器的仿真测试文件编写.82SO1CHO7 FPGA RunLED创建VADO工程实验….85第7页共1185二三电子米联电子ww.orc. cn /ZYNO SOC修炼秘籍7.1硬件图片7.2硬件原理图.…8573新建 IVADO工程.8674创建工程文件.,897.5 Verilog FPga流水灯实验937.6添加管脚约束文件7.7编译并且产生bit文件.78下载程序79实验结果…1007.10木章小结··;········;。·:101S01CH08 FPGa Button按钮去抖动实验8.1硬件介绍…10282时序设计1038.3程序源码.10384程序分析8.5综合布线前仿真时序10886 Chipscope在线逻辑分析仪仿真.10887输出结果…8.8小结108S01CH09FPGA多路分型器设计11091硬件图片.11092硬件原理图11093介于ⅤVADO的FPGA设计流程94多路分配器设计思想95时序设计1129,.6稈序源码.11297行为仿真117971创建多路分频器工程.11797.2添加仿真文件.121973行为级仿貞98综合 Synthesis1299.8.1添加文件98.2综合并查看报告..1319.8.3综合时序仿真13199执行 Implementation132991执行并查看报告132992布局布线后时序仿真……13390Ⅴ IVADO在线逻辑分析仪使用··4··量·非,。134910.1 IP Catalog添加 IA ip corc.…134910,2逻辑分析仪抓取的信号138910.3逻辑分析仪仗用…1399l1小结140s01CH10VGA接口测试141第8页共1185二三电子米联电子ww.orc. cn /ZYNO SOC修炼秘籍10.1硬件介绍…141102时序分析…····+··“···+114310.3新建Ⅴ IVADO工程.14410.4创建工程文件14910.5添加管脚约束文件..…16310.6编译并且产生bit文件169107下载程序10.8实验结果…17110.9本章小结.S01CH11ADV751 HDMI接口测试17311.1ADV7511概述111.1硬件特性111.2视频输入…17311.1.3支持的输出格式174111.4视频接口信号采样.111.5功能框图176111.6奇存器空间.17611.2硬件电路分析177l1.3创建工程文件17811.4添加管脚约束文件.18311.5编译并且产牛bit文件18711.6下载程序.18711.7实验结果189S0ICII12PLIO口模拟IDMI接口测试l90121创建工程文件……124添加管脚约束文件.12.5编译并且产生bit文件.201126下载程序…201127实验结果.203【第二季】 ZYNQ SOC入门基础共16课吋205S02CH1 Hello world实验2061.1最小系统分析2061.6 Memtest内存测试程序…2291.7 DRAMTeSt内存测试程序2311.8LWP协议对千兆网口测试19使用快捷按钮调试…2351.10本章小结S02CHO2MIO实验……21GPO简介362.1.lGPO的控制寄存器地址空间2372.12MO内部构造分析…240213EMIO的特性24l22电路分析及实验预期241第9页共1185
    2020-12-04下载
    积分:1
  • 蓝牙调频通信系统(使用Matlab
    蓝牙调频通信系统(使用Matlab编程),适合初级者学习的宝贵资料
    2020-12-04下载
    积分:1
  • 《Workbench3.0&Vxworks6;.6集成环境使用指导》
    Workbench3.0&Vxworks6;.6集成环境使用指导 第一部分 VxWorks/worksbench3.0简介第二部分 worksbench3.0/Tornado2.2比较第三部分 worksbench3.0的工程视图第四部分 建立一个例子工程第五部分 建立交叉开发环境
    2020-11-01下载
    积分:1
  • visio图标模具库
    大量丰富的visio图标资源,包括网络、计算机、建筑、人物、图形等各种元素。
    2020-12-04下载
    积分:1
  • 完美解决matlab2014a-mcc无法
    完美解决matlab2014a-mcc无法编译问题。适用于已安装compiler但破解不完全的,下载后解压,把install.jar以及相应位数的三个文件(compiler.dll,mcc.exe,libmwservices.dll)复制到对应位置替换即可,另外把license.lic改为与MATLABlicenses文件夹下的那个lic文件同名,复制并替换之。MATLABR2014ajavajarinstall.jarMATLABR2014ainwin32compiler.dllMATLABR2014ainwin32mcc.exeMATLABR20
    2021-05-06下载
    积分:1
  • RabbitMQ-分布式消息队列(C#实例、文档、工具类)
    RabbitMQ-分布式消息队列(C#实例、文档、工具类)生产者、消费者使用很方便,高内聚,低耦合。
    2020-03-03下载
    积分:1
  • 大话移动通信课件
    大话移动通信,张海君、郑伟编著,共十二章,ppt格式课件
    2020-12-03下载
    积分:1
  • 绝对可行的PRM路径规划matlab代码
    绝对能运行的matlab路径规划PRM代码,该代码给出了二维环境下,机器人的路径规划方法——概率路图法(PRM),并附有文档简单说明
    2020-07-04下载
    积分:1
  • 696524资源总数
  • 103872会员总数
  • 62今日下载