登录
首页 » Others » 小波阈值去噪+模极大值去噪 代码实现

小波阈值去噪+模极大值去噪 代码实现

于 2020-12-06 发布
0 113
下载积分: 1 下载次数: 4

代码说明:

利用小波算法实现图像去噪,包含软硬阈值去噪,中值,均值滤波等,内含图片灰度与彩色实例。以及代码文件说明、

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • matlab2012a与vs2010混合求矩阵的逆
    MATLAB2012a与VS2010混合编程求矩阵的逆(包括环境配置和求逆代码)
    2020-11-30下载
    积分:1
  • 扩频通信系统的FPGA设计.pdf
    扩频通信系统的FPGA设计.pdf
    2021-05-06下载
    积分:1
  • stm32移植uCosIIV2.86源码
    本源码包采用的是STM32F103C8T6,可以替换为你想要的STM32其他芯片,移植请参考我的博客文档http://blog.csdn.net/cumtwys/article/details/7178096
    2020-12-12下载
    积分:1
  • 粒子群优化小波神经网络车位预测
    利用粒子群优化小波神经网络进行车位预测,仿真结果显示预测精度较高
    2021-05-06下载
    积分:1
  • NXP公司LPC17XX系列的 DHT11驱动
    NXP公司LPC17XX系列的 DHT11驱动,示波器调节的延时时间,延时较为准确,程序已经验证能用,代码注视非常的详细
    2020-12-08下载
    积分:1
  • 群智能算法的标准测试函数
    包含有Ronsenbrock,Schaffer,Schewel,Schwefel, ShiftedRonsenbrock, ShiftedSphere,Sphere,Step,SumDIfferent,SumSquares,Zakharov,等测试函数,代码是MATLAB实现的,并且都是子函数形式,方便使用,内有调用说明
    2020-12-09下载
    积分:1
  • SAR RD 算法MATLAB仿真
    合成孔径雷达点目标 RD成像算法 MATLAB 源码,可读性强,适合新手学习。
    2020-11-27下载
    积分:1
  • Android蓝牙串口传输心电数据画图的小
    Andriod 2.2的蓝牙串口协议传输的一个小范例程序。可以查找蓝牙设备,建立连接,传输心电数据并画出波形图。如果要进行测试,请在有蓝牙适配器的电脑上安装支持虚拟蓝牙串口的驱动,如IVT WILDCOM等。用手机搜索电脑,配对完成后建立连接,电脑任务栏将会出现“设备已连接上电脑COMX口”之类的提示,然后再用串口软件(比如超级终端等)发送到刚才提示串口,Android手机就可以收到了。测试的时候请使用文件夹里的ECG.txt的心电数据文本文件,数据来源于MIT的心电数据库。PS:Android蓝牙只能在真机上运行。
    2020-11-30下载
    积分:1
  • 基于OpenCV的张正有标定法(代码+棋盘图)
    张正友相机标定Opencv实现,附棋盘图和14张不同角度标定图。 包含完整的工程代码,有详细的注释说明,一键运行。实现了相机标定、输出相机内参、外参、旋转和平移矩阵、标定效果评价、以及使用标定结果对原始棋盘图进行矫正。
    2020-12-07下载
    积分:1
  • 基于Java Web的旅游网站设计与实现
    基于Java Web的旅游网站设计与实现 完整项目+毕业论文 文档
    2020-11-28下载
    积分:1
  • 696524资源总数
  • 103801会员总数
  • 61今日下载