登录
首页 » Others » 多摩川绝对值编码器接口CPLD程序,verilog

多摩川绝对值编码器接口CPLD程序,verilog

于 2020-12-11 发布
0 89
下载积分: 1 下载次数: 1

代码说明:

多摩川绝对值编码器 17位接口程序,用verilog语言实现。简化版,资源少

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 696524资源总数
  • 103833会员总数
  • 52今日下载