登录
首页 » Others » IIC总线 Verilog FGPA模块实现 注释详尽 初学必备

IIC总线 Verilog FGPA模块实现 注释详尽 初学必备

于 2020-12-11 发布
0 204
下载积分: 1 下载次数: 3

代码说明:

IIC总线 Verilog FGPA模块实现 注释详尽 初学必备,实现了IIC读写EEPROM,已封装成模块,实例中为了testbench测试,将写入的数据变成了固定值,注释详尽,初学者也能明白,本人初学时编写,完整测试通过/*** * clk50M : 50M输入时钟 * resetKey : 复位信号 * IIC_SDA : IIC数据接口 * IIC_SCL : IIC控制时钟接口 * RWSignal : 读写信号,读1,写0 * startSignal : 开始执行读命令信号,上升沿触发开始 * readLen : 需要读取的字节个数

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 696522资源总数
  • 104044会员总数
  • 20今日下载