登录
首页 » Vivado » 05_fifo_test

05_fifo_test

于 2021-04-08 发布
0 145
下载积分: 1 下载次数: 1

代码说明:

说明:  FIFO: First in, First out 代表先进的数据先出,后进的数据后出。Xilinx 在 VIVADO 里为我们已经提供了 FIFO 的 IP 核, 我们只需通过 IP 核例化一个 FIFO,根据 FIFO 的读写时序来写入和读取FIFO 中存储的数据。(FIFO: first in, first out represents the first out of advanced data, and the last in data is the last out. Xilinx has provided us with the IP core of FIFO in vivado. We only need to instantiate a FIFO through the IP core, and write and read the data stored in FIFO according to the FIFO read-write timing.)

文件列表:

05_fifo_test, 0 , 2020-12-09
05_fifo_test\fifo_test.runs, 0 , 2020-12-09
05_fifo_test\fifo_test.runs\.jobs, 0 , 2020-12-09
05_fifo_test\fifo_test.runs\.jobs\vrs_config_1.xml, 1450 , 2020-06-30
05_fifo_test\fifo_test.runs\clk_wiz_0_synth_1, 0 , 2020-12-09
05_fifo_test\fifo_test.runs\clk_wiz_0_synth_1\.Vivado_Synthesis.queue.rst, 0 , 2020-06-30
05_fifo_test\fifo_test.runs\clk_wiz_0_synth_1\.Xil, 0 , 2020-12-09
05_fifo_test\fifo_test.runs\clk_wiz_0_synth_1\.Xil\clk_wiz_0_propImpl.xdc, 466 , 2020-06-30
05_fifo_test\fifo_test.runs\clk_wiz_0_synth_1\.vivado.begin.rst, 217 , 2020-06-30
05_fifo_test\fifo_test.runs\clk_wiz_0_synth_1\.vivado.end.rst, 0 , 2020-06-30
05_fifo_test\fifo_test.runs\clk_wiz_0_synth_1\ISEWrap.js, 8379 , 2020-06-30
05_fifo_test\fifo_test.runs\clk_wiz_0_synth_1\ISEWrap.sh, 1806 , 2020-06-30
05_fifo_test\fifo_test.runs\clk_wiz_0_synth_1\__synthesis_is_complete__, 0 , 2020-06-30
05_fifo_test\fifo_test.runs\clk_wiz_0_synth_1\clk_wiz_0.dcp, 11784 , 2020-06-30
05_fifo_test\fifo_test.runs\clk_wiz_0_synth_1\clk_wiz_0.tcl, 13072 , 2020-06-30
05_fifo_test\fifo_test.runs\clk_wiz_0_synth_1\clk_wiz_0.vds, 22728 , 2020-06-30
05_fifo_test\fifo_test.runs\clk_wiz_0_synth_1\clk_wiz_0_utilization_synth.pb, 292 , 2020-06-30
05_fifo_test\fifo_test.runs\clk_wiz_0_synth_1\clk_wiz_0_utilization_synth.rpt, 6952 , 2020-06-30
05_fifo_test\fifo_test.runs\clk_wiz_0_synth_1\dont_touch.xdc, 2477 , 2020-06-30
05_fifo_test\fifo_test.runs\clk_wiz_0_synth_1\gen_run.xml, 1784 , 2020-06-30
05_fifo_test\fifo_test.runs\clk_wiz_0_synth_1\htr.txt, 395 , 2020-06-30
05_fifo_test\fifo_test.runs\clk_wiz_0_synth_1\job.id.log, 0 , 2020-06-30
05_fifo_test\fifo_test.runs\clk_wiz_0_synth_1\rundef.js, 1369 , 2020-06-30
05_fifo_test\fifo_test.runs\clk_wiz_0_synth_1\runme.bat, 229 , 2020-06-30
05_fifo_test\fifo_test.runs\clk_wiz_0_synth_1\runme.log, 22569 , 2020-06-30
05_fifo_test\fifo_test.runs\clk_wiz_0_synth_1\runme.sh, 1278 , 2020-06-30
05_fifo_test\fifo_test.runs\clk_wiz_0_synth_1\vivado.jou, 826 , 2020-06-30
05_fifo_test\fifo_test.runs\clk_wiz_0_synth_1\vivado.pb, 34752 , 2020-06-30
05_fifo_test\fifo_test.runs\fifo_ip_synth_1, 0 , 2020-12-09
05_fifo_test\fifo_test.runs\fifo_ip_synth_1\.Vivado_Synthesis.queue.rst, 0 , 2020-06-30
05_fifo_test\fifo_test.runs\fifo_ip_synth_1\.Xil, 0 , 2020-12-09
05_fifo_test\fifo_test.runs\fifo_ip_synth_1\.Xil\fifo_ip_propImpl.xdc, 1638 , 2020-06-30
05_fifo_test\fifo_test.runs\fifo_ip_synth_1\.vivado.begin.rst, 217 , 2020-06-30
05_fifo_test\fifo_test.runs\fifo_ip_synth_1\.vivado.end.rst, 0 , 2020-06-30
05_fifo_test\fifo_test.runs\fifo_ip_synth_1\ISEWrap.js, 8379 , 2020-06-30
05_fifo_test\fifo_test.runs\fifo_ip_synth_1\ISEWrap.sh, 1806 , 2020-06-30
05_fifo_test\fifo_test.runs\fifo_ip_synth_1\__synthesis_is_complete__, 0 , 2020-06-30
05_fifo_test\fifo_test.runs\fifo_ip_synth_1\dont_touch.xdc, 2419 , 2020-06-30
05_fifo_test\fifo_test.runs\fifo_ip_synth_1\fifo_ip.dcp, 134283 , 2020-06-30
05_fifo_test\fifo_test.runs\fifo_ip_synth_1\fifo_ip.tcl, 12927 , 2020-06-30
05_fifo_test\fifo_test.runs\fifo_ip_synth_1\fifo_ip.vds, 37761 , 2020-06-30
05_fifo_test\fifo_test.runs\fifo_ip_synth_1\fifo_ip_utilization_synth.pb, 286 , 2020-06-30
05_fifo_test\fifo_test.runs\fifo_ip_synth_1\fifo_ip_utilization_synth.rpt, 7471 , 2020-06-30
05_fifo_test\fifo_test.runs\fifo_ip_synth_1\gen_run.xml, 1754 , 2020-06-30
05_fifo_test\fifo_test.runs\fifo_ip_synth_1\htr.txt, 391 , 2020-06-30
05_fifo_test\fifo_test.runs\fifo_ip_synth_1\job.id.log, 0 , 2020-06-30
05_fifo_test\fifo_test.runs\fifo_ip_synth_1\rundef.js, 1365 , 2020-06-30
05_fifo_test\fifo_test.runs\fifo_ip_synth_1\runme.bat, 229 , 2020-06-30
05_fifo_test\fifo_test.runs\fifo_ip_synth_1\runme.log, 37802 , 2020-06-30
05_fifo_test\fifo_test.runs\fifo_ip_synth_1\runme.sh, 1272 , 2020-06-30
05_fifo_test\fifo_test.runs\fifo_ip_synth_1\vivado.jou, 812 , 2020-06-30
05_fifo_test\fifo_test.runs\fifo_ip_synth_1\vivado.pb, 58525 , 2020-06-30
05_fifo_test\fifo_test.runs\ila_m0_synth_1, 0 , 2020-12-09
05_fifo_test\fifo_test.runs\ila_m0_synth_1\.Vivado_Synthesis.queue.rst, 0 , 2020-06-30
05_fifo_test\fifo_test.runs\ila_m0_synth_1\.vivado.begin.rst, 216 , 2020-06-30
05_fifo_test\fifo_test.runs\ila_m0_synth_1\.vivado.end.rst, 0 , 2020-06-30
05_fifo_test\fifo_test.runs\ila_m0_synth_1\ISEWrap.js, 8379 , 2020-06-30
05_fifo_test\fifo_test.runs\ila_m0_synth_1\ISEWrap.sh, 1806 , 2020-06-30
05_fifo_test\fifo_test.runs\ila_m0_synth_1\__synthesis_is_complete__, 0 , 2020-06-30
05_fifo_test\fifo_test.runs\ila_m0_synth_1\dont_touch.xdc, 2475 , 2020-06-30
05_fifo_test\fifo_test.runs\ila_m0_synth_1\gen_run.xml, 1739 , 2020-06-30
05_fifo_test\fifo_test.runs\ila_m0_synth_1\htr.txt, 389 , 2020-06-30
05_fifo_test\fifo_test.runs\ila_m0_synth_1\ila_m0.dcp, 669663 , 2020-06-30
05_fifo_test\fifo_test.runs\ila_m0_synth_1\ila_m0.tcl, 13072 , 2020-06-30
05_fifo_test\fifo_test.runs\ila_m0_synth_1\ila_m0.vds, 28719 , 2020-06-30
05_fifo_test\fifo_test.runs\ila_m0_synth_1\ila_m0_utilization_synth.pb, 286 , 2020-06-30
05_fifo_test\fifo_test.runs\ila_m0_synth_1\ila_m0_utilization_synth.rpt, 7689 , 2020-06-30
05_fifo_test\fifo_test.runs\ila_m0_synth_1\job.id.log, 0 , 2020-06-30
05_fifo_test\fifo_test.runs\ila_m0_synth_1\rundef.js, 1363 , 2020-06-30
05_fifo_test\fifo_test.runs\ila_m0_synth_1\runme.bat, 229 , 2020-06-30
05_fifo_test\fifo_test.runs\ila_m0_synth_1\runme.log, 28636 , 2020-06-30
05_fifo_test\fifo_test.runs\ila_m0_synth_1\runme.sh, 1269 , 2020-06-30
05_fifo_test\fifo_test.runs\ila_m0_synth_1\vivado.jou, 805 , 2020-06-30
05_fifo_test\fifo_test.runs\ila_m0_synth_1\vivado.pb, 46829 , 2020-06-30
05_fifo_test\fifo_test.runs\impl_1, 0 , 2020-12-09
05_fifo_test\fifo_test.runs\impl_1\.Vivado_Implementation.queue.rst, 0 , 2020-06-30
05_fifo_test\fifo_test.runs\impl_1\.Xil, 0 , 2020-12-09
05_fifo_test\fifo_test.runs\impl_1\.Xil\Vivado-1712-DESKTOP-0FF260C, 0 , 2020-12-09
05_fifo_test\fifo_test.runs\impl_1\.Xil\Vivado-1712-DESKTOP-0FF260C\dc_drv.0, 0 , 2020-12-09
05_fifo_test\fifo_test.runs\impl_1\.Xil\Vivado-1712-DESKTOP-0FF260C\dc_drv.0\dc, 0 , 2020-12-09
05_fifo_test\fifo_test.runs\impl_1\.Xil\Vivado-1712-DESKTOP-0FF260C\dc_drv.0\dc\messagePromote.pb, 51953 , 2020-06-30
05_fifo_test\fifo_test.runs\impl_1\.init_design.begin.rst, 179 , 2020-06-30
05_fifo_test\fifo_test.runs\impl_1\.init_design.end.rst, 0 , 2020-06-30
05_fifo_test\fifo_test.runs\impl_1\.opt_design.begin.rst, 179 , 2020-06-30
05_fifo_test\fifo_test.runs\impl_1\.opt_design.end.rst, 0 , 2020-06-30
05_fifo_test\fifo_test.runs\impl_1\.phys_opt_design.begin.rst, 179 , 2020-06-30
05_fifo_test\fifo_test.runs\impl_1\.phys_opt_design.end.rst, 0 , 2020-06-30
05_fifo_test\fifo_test.runs\impl_1\.place_design.begin.rst, 179 , 2020-06-30
05_fifo_test\fifo_test.runs\impl_1\.place_design.end.rst, 0 , 2020-06-30
05_fifo_test\fifo_test.runs\impl_1\.route_design.begin.rst, 179 , 2020-06-30
05_fifo_test\fifo_test.runs\impl_1\.route_design.end.rst, 0 , 2020-06-30
05_fifo_test\fifo_test.runs\impl_1\.vivado.begin.rst, 217 , 2020-06-30
05_fifo_test\fifo_test.runs\impl_1\.vivado.end.rst, 0 , 2020-06-30
05_fifo_test\fifo_test.runs\impl_1\.write_bitstream.begin.rst, 179 , 2020-06-30
05_fifo_test\fifo_test.runs\impl_1\.write_bitstream.end.rst, 0 , 2020-06-30
05_fifo_test\fifo_test.runs\impl_1\ISEWrap.js, 8379 , 2020-06-30
05_fifo_test\fifo_test.runs\impl_1\ISEWrap.sh, 1806 , 2020-06-30
05_fifo_test\fifo_test.runs\impl_1\debug_nets.ltx, 16284 , 2020-06-30
05_fifo_test\fifo_test.runs\impl_1\fifo_test.bit, 2283451 , 2020-06-30
05_fifo_test\fifo_test.runs\impl_1\fifo_test.ltx, 16284 , 2020-06-30

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 696524资源总数
  • 103816会员总数
  • 64今日下载