登录
首页 » VHDL » 计时器程序设计

计时器程序设计

于 2023-05-24 发布 文件大小:417.23 kB
0 18
下载积分: 2 下载次数: 1

代码说明:

利用Quartus 综合简单的计时器功能,欢迎大家下载、参考。谢谢大家的支持!

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • random
    Verilog使用$random()函數簡單範例(Verilog using the $ random () function of a simple example)
    2009-06-18 11:54:19下载
    积分:1
  • 3FP
    一个三分频verilog模块,可以用来学习基本结构。(A three points frequency verilog module can be used to study the basic structure.)
    2013-08-25 00:41:29下载
    积分:1
  • zhuangtai
    状态机的典型饮用,可供学习模仿之用,四个状态,简单易学(State machine of the typical drinking, can be used to learn to imitate, four state, easy to learn)
    2007-11-11 21:36:15下载
    积分:1
  • shouhuoji.vhd
    自动售货机程序(Vending machine procedures)
    2008-04-05 22:08:58下载
    积分:1
  • altera 公司的15IP源码 亲自测试还不错 有DIV, CONTER
    altera 公司的15IP源码 亲自测试还不错 有DIV, CONTER-ALTERA the 15IP source personally tests are also good DIV, CONTER
    2022-03-13 02:56:46下载
    积分:1
  • OFDM
    :采用FPGA来实现一个基于OFDM技术的通信系统中的基带数据处理部分,即调制解调器。其中发射部分的调制器包括:信道编码(Reed-Solomon编码),交织,星座映射,FFT和插入循环前缀等模块。我另外制作了相应的解调器,可以实现上述功能的逆变换。(: Using FPGA to implement a technology-based OFDM communication systems in base-band data processing part of the modem. One part of the modulator launch include: channel coding (Reed-Solomon coding), interleaving, constellation mapping, FFT and cyclic prefix insertion modules. I also produced a corresponding demodulator can achieve the above-mentioned inverse transform function.)
    2009-04-16 12:28:17下载
    积分:1
  • paidui
    排队电路设计,适用于EDA大作业,大学生适合使用,初学者,仅仅是vhdl的语言,可以借鉴(Queuing circuit design, suitable for EDA operation, college students suitable for use, beginners, only the language of VHDL, can learn from)
    2017-12-10 23:47:23下载
    积分:1
  • 4ASKmod2
    讲述4ASK的原理并附有matlab调制解调的源码。。。。。。。。。。 注:原来上传的4ASKmod.zip不要下(The principle tells 4ASK together with modulation and demodulation matlab source. . . . . . . . . . Note: The original upload 4ASKmod.zip not down)
    2013-07-10 00:01:10下载
    积分:1
  • 18_vga_test
    基于Xilinx Spartan6系列的fpga的VGA实现(Based on Xilinx Spartan6 series fpga VGA implementation)
    2019-04-01 13:47:46下载
    积分:1
  • FPGA-design-and-application
    已经正式出版,西安电子科技大学出版社,FPGA设计及应用,作者褚振勇(Has been officially published, Xi' an University of Electronic Science and Technology Publishing House, FPGA design and application, the author Zhezhengyong)
    2009-06-03 15:57:31下载
    积分:1
  • 696524资源总数
  • 103816会员总数
  • 64今日下载