登录
首页 » VHDL » 并串转换

并串转换

于 2023-06-03 发布 文件大小:1.52 kB
0 96
下载积分: 2 下载次数: 1

代码说明:

利用VHDL语言实现并串转换过程。利用VHDL语言实现并串转换过程。利用VHDL语言实现并串转换过程。利用VHDL语言实现并串转换过程。利用VHDL语言实现并串转换过程。

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • VHDL描述的自定义交织器
    交织器主要是对输入数据按照一定的规则打乱以便减少数据中过长的连0或者连1的出现。交织矩阵为行列矩阵,msgin为输入比特,msgout为交织输出比特,row和rol为交织器的行和列,可以通过改变col改变交织深度。先把输入的比特流数据改变为一个矩阵,再按照一定的方式输出为比特流数据
    2022-03-15 22:36:53下载
    积分:1
  • fft变换三个中的一个(站长:三个代码算一个)
    fft变换三个中的一个(站长:三个代码算一个)-one of the three fft transfermation code
    2022-02-10 13:45:18下载
    积分:1
  • generic_dpram
    IT IS THE DP MEMORY MODULE. IT CONTROLS THE DP MEMORY
    2013-09-30 19:03:40下载
    积分:1
  • 从站设计在Altera的fpga上实现powerlink的从站设计
    在Altera_PFGA上实现POWERLINK从站设计,这是目前最好的的最具爱的实现方案,具有很实用的参考价值。文章介绍了实现方案和主要思路。
    2022-04-11 11:24:04下载
    积分:1
  • ddr2_controller
    A controller for DDR2 on FPGA with vhdl, content testbench, model and textfile-generation/data-detection using python.
    2015-11-16 00:31:22下载
    积分:1
  • step-motor
    how to use step motor control
    2013-02-04 13:12:25下载
    积分:1
  • Verilog-shift-mulfunction
    FPGA verilog 实现任意位宽的移位相乘法,有符号小数或者有符号整数相乘。函数调用方式(FPGA verilog achieve any bit-wide shift multiplication , signed or signed decimal integer multiplication . Function call )
    2014-06-21 17:08:12下载
    积分:1
  • 出租车模块设计加nios2设计cup程序代码 出租车模块设计加nios2设计cup程序代码...
    出租车模块设计加nios2设计cup程序代码 出租车模块设计加nios2设计cup程序代码-Taxi modular design design cup plus nios2 code taxi modular design design cup plus nios2 code
    2022-03-06 17:30:37下载
    积分:1
  • lesson1
    eda的入门学习课件,老师不错,内容页挺好的(eda learning files)
    2012-12-14 22:39:31下载
    积分:1
  • that I wrote four string and turn ISE code In xilinx Spartan3E debugging has bee...
    这是我自己写的4位并转串ISE代码,在xilinx Spartan3E 上已经调试成功,拿出来与大家分享!-that I wrote four string and turn ISE code In xilinx Spartan3E debugging has been successful, with the show to share with you!
    2022-02-14 20:17:51下载
    积分:1
  • 696524资源总数
  • 103938会员总数
  • 55今日下载