登录
首页 » Verilog » addersubtractor

addersubtractor

于 2023-08-03 发布 文件大小:2.67 kB
0 22
下载积分: 2 下载次数: 1

代码说明:

AVIAddXSubs是一个简单易用的免费程序,用于转换原始srt文件的字幕视频。如果您的硬件播放机无法直接从srt显示字幕,或者即使这样做,结果也不令人满意,那么它的服务将非常有用。使用AVIAddXSubs并转换srt,您可以使用多种选项来配置有关字体、字体大小的字幕;

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • regress-900055
    The Date prototype object is itself a Date object (its [[Class]] is "Date") whose value is NaN.
    2013-12-27 00:29:58下载
    积分:1
  • axi_lite_user
    axi_lite_user官方样例,精简功能,适用于zynq系列axi总线(Axi_lite_user official sample, streamline function, apply to zynq series Axi bus)
    2017-07-24 16:43:22下载
    积分:1
  • EPM570
    非常好的EPM570(CPLD)学习程序源码,适合初学者,能让其快速入门(Very good EPM570 (CPLD) learning program source code, suitable for beginners, allowing its Quick Start)
    2013-09-11 10:18:59下载
    积分:1
  • utmi
    介绍USB PHY接口中的UTMI接口, 对使用Verilog进行USB接口编程具有帮助。(This paper introduces UTMI interface in USB PHY interface. It is helpful for programming USB interface with Verilog.)
    2021-03-17 21:39:21下载
    积分:1
  • fpga_video_game-master
    在开发板EGO1上实现的直升机飞行游戏,随时间的累积,速度不断加快,数码管显示积分( Helicopter game in verilog)
    2021-05-07 07:58:37下载
    积分:1
  • motor
    步进电机驱动,32等级速度,带加减速度控制。verilog编写。(step motor driver,32 level speed.)
    2020-12-09 16:29:19下载
    积分:1
  • 移位寄存器(右移和左移)
    module shiftrne(R,L,E,w,Clock,Q);   parameter n=4;   input [n-1:0]R;   input L,E,w,Clock;   output reg [n-1:0]Q;   integer k;      always@(posedge Clock)   begin     if(L)         Q
    2023-08-01 00:40:03下载
    积分:1
  • Six-phase-Motor-Based-on-DSP
    说明:  设计了六相感应电机的控还原 制平台的硬件结构及其各个组成部分,控制平台结构主要由DSP控制系统和主驱动电路系统以及检测电路系统组成。控制系统采用TI公司的TMS320F2812快速DSP控制芯片。 (This paper designs the hardware structure of the six-phase motor control system and introduces every component. The control platform consists of DSP control system, main drive circuit system and detection circuit system .The control system adopts TMS320F2812 DSP chip of TI Company. 更多还原 )
    2011-03-01 12:08:36下载
    积分:1
  • Verilog LDPC码
    module LDPC (clk,reset,             data_in, data_in_en,             velocity, /*输入信号码率选择*/             data_out, data_out_en,             indication /*输出信号,第一个127要删除前5成7488,指示第一个127*/                );input   clk,reset;input   data_in,data_in_en;input[1:0]  velocity; //码率选择信号output[126:0]   data_out;output  data_out_en;output  indication;
    2023-08-01 22:05:03下载
    积分:1
  • lesson1
    eda的入门学习课件,老师不错,内容页挺好的(eda learning files)
    2012-12-14 22:39:31下载
    积分:1
  • 696524资源总数
  • 103945会员总数
  • 46今日下载