登录
首页 » VHDL » jiaozhijiejiaozhi

jiaozhijiejiaozhi

于 2020-07-17 发布 文件大小:8KB
0 77
下载积分: 1 下载次数: 18

代码说明:

  VHDL代码完成行列交织与解交织的功能实现(the realization of interleaver on VHDL language)

文件列表:

jiaozhijiejiaozhi
.................\hangliejiaozhi.vhd,26912,2008-02-29
.................\jiejz.vhd,109821,2010-07-09

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 696524资源总数
  • 103848会员总数
  • 55今日下载