登录
首页 » VHDL » mux21a

mux21a

于 2008-12-24 发布 文件大小:3KB
0 63
下载积分: 1 下载次数: 5

代码说明:

  在VHDL结构体中用于描述逻辑功能和电路结构的语句分为顺序语句和并行语句两部分,顺序语句的执行方式十分类似于普通软件语言的程序执行方式,都是按照语句的前后排列方式顺序执行的。(VHDL structure in the body used to describe the logic function and circuit structure of the order of statements and expressions are divided into two parts in parallel statement, modalities for the implementation of the order of statement is very similar to ordinary language software program implementation, are in accordance with the statements before and after the arrangement of the order implementation.)

文件列表:

新建文件夹
..........\新建 Microsoft Word 文档.doc

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 696522资源总数
  • 104027会员总数
  • 45今日下载