登录
首页 » VHDL » hdb3

hdb3

于 2021-04-22 发布 文件大小:1KB
0 53
下载积分: 1 下载次数: 76

代码说明:

  这是一个很全的HDB3译码的verilog程序,用于FPGA入门所用,verilog的入门很好的程序(This is a very wide of the HDB3 decoding verilog program for entry-FPGA used, verilog entry procedures for good)

文件列表:

hdb3.txt

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 696524资源总数
  • 103939会员总数
  • 12今日下载