登录
首页 » VHDL » cic_4_dec

cic_4_dec

于 2008-07-08 发布 文件大小:1KB
0 58
下载积分: 1 下载次数: 54

代码说明:

  实现4倍抽取的CIC抽取滤波器模块的Verilog实现,在对数据进行抽取之前,首先进行滤波(Extracted 4 times realize CIC decimation filter module Verilog realize that in the data collected before the first filter)

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 696522资源总数
  • 104044会员总数
  • 20今日下载