登录
首页 » VHDL » DAC0832VHDL

DAC0832VHDL

于 2020-11-28 发布 文件大小:3KB
0 60
下载积分: 1 下载次数: 23

代码说明:

  DAC0832 接口电路程序.功能:产生频率为762.9Hz的锯齿波DAC0832VHDL程序与仿真(DAC0832 procedures interface circuit. Functions: generate the sawtooth frequency of 762.9Hz and simulation procedures DAC0832VHDL)

文件列表:

8.6 DAC0832 接口电路程序.doc

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 696522资源总数
  • 104029会员总数
  • 31今日下载