登录
首页 » MultiPlatform » CAN协议控制器的Verilog实现

CAN协议控制器的Verilog实现

于 2020-11-26 发布 文件大小:38KB
0 90
下载积分: 1 下载次数: 3

代码说明:

说明:  基于FPGA的CAN总线控制器,VERILOGHDL源代码,Q2仿真实现。可用。(FPGA-based CAN Bus Controller, VERILOGHDL source code, Q2 Simulation. Available.)

文件列表:

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 696524资源总数
  • 103945会员总数
  • 46今日下载