登录

最新会员 最新下载

成为了本站VIP会员

05月08日 20:16

成为了本站VIP会员

05月08日 10:54

成为了本站VIP会员

05月07日 09:50

成为了本站VIP会员

04月30日 12:23

成为了本站VIP会员

04月29日 21:46

成为了本站VIP会员

04月26日 23:14
已选条件
  1. 编程语言:Windows_Unix
  2. 代码类别:其他书籍
  3. 发布时间:半年内
全部撤销
编程语言 更多 收起
代码类别 更多 收起
发布时间
更多选项

1. SFBC_MIMO_OFDM

  MIMO-OFDM系统空频分组码的研究与实现,2006年清华大学电子工程系硕士学位论文(MIMO-OFDM space-frequency block coding system of the Research and Implementation, Department of Electronic Engineering, Tsinghua University in 2006 a master' s degree thesis)

216
下载
215
浏览
2021-04-10发布

3. Pro-Git-in-Chinese

  Git中文版介绍,新手必备,老手参考。 目前所知的最好的中文Git介绍。(Git Chinese version of introduction, novice, veteran reference. Currently the best known Chinese Git introduction.)

2
下载
159
浏览
2015-11-23发布

4. Ansys-APDL

  Ansys热分析过程中的热对流加载程序,经过多次验证,运行正常,无错误!(Ansys thermal analysis thermal convection loader, after repeated verification, operating normally, without errors!)

6
下载
162
浏览
2015-07-03发布

5. Dynamic-Fracture-Mechanics

  动态断裂教程,很经典,对理解动态断裂帮助很大,可以用abaqus实现(Dynamic fracture tutorial, very classic, a great help to understand the dynamic fracture, can use the implementation of abaqus)

27
下载
174
浏览
2015-06-20发布

6. op-amps-for-everyone

  op amps for everyone.pdf TI的运放设计参考手册(op amps for everyone.pdf )

3
下载
163
浏览
2015-04-08发布

7. box-girder-beam3

  Ansys 中变截面连续箱梁建模,静力分析,模态分析以及设计弯矩绘制,影响线的绘制等。(Variable cross-section continuous box girder model in Ansys, the static analysis, modal analysis, and design bending moment, influence line drawing, etc.)

31
下载
181
浏览
2014-06-10发布

8. Signaux_et_Systemes

  signaux and systems french ppt(signaux and systems)

1
下载
156
浏览
2013-12-08发布

9. Signaux_et_Systemes

  signaux and systems french ppt(signaux and systems)

1
下载
153
浏览
2013-12-08发布

10. 1998-J.H.-Stock--M.W

  1998-J.H. Stock, M.W. Watson Business Cycle fluctuations in US macroeconomic time series.pdf

2
下载
160
浏览
2012-05-03发布

11. CPP.Cookbook1

说明:  导师给推荐的另一本书,我推荐只看第一章就行了,帮助你理解很多东西。(Mentor to recommend another book, I recommend just look at the first chapter on the line, to help you understand a lot.)

0
下载
141
浏览
2011-03-18发布

12. Ruby.Programming.Language.Oreilly

  本书的风格跟C语言的经典《The C Programming Language》相似,作者同样也是著名技术作家和语言缔造者的组合。本书与一般的Ruby语言图书相比,除了介绍如何使用Ruby语言外,还讲述了Ruby的实现原理及内部运作机制。堪称Ruby之经典之作(The Ruby Programming Language is the authoritative guide to Ruby and provides comprehensive coverage of versions 1.8 and 1.9 of the language. It was written (and illustrated!) by an all-star team: • David Flanagan, bestselling author of programming language "bibles" (including JavaScript: The Definitive Guide and Java in a Nutshell) and committer to the Ruby Subversion repository. • Yukihiro "Matz" Matsumoto, creator, designer and lead developer of Ruby and author of Ruby in a Nutshell, which has been expanded and revised to become this book. • why the lucky stiff, artist and Ruby programmer extraordinaire. This book begins with a quick-start tutorial to the language, and then explains the language in detail from the bottom up: from lexical and syntactic structure to datatypes to expressions and statements and on through methods, blocks, lambdas, closures, classes and modules. The book also includes a long and thorough introduction to the rich API of the R)

2
下载
151
浏览
2011-02-10发布

13. TP3

  Cours et traveaux pratique en traitement de texte word

2
下载
162
浏览
2010-07-20发布

14. hackbaseVIP_43

  黑客基地VIP会员内部培训资料! 第43讲:零起点教你手动对软件脱壳(Hackers VIP membership base within the training materials! 43 Lecture: beginners to teach you the software manually shelling)

7
下载
177
浏览
2010-06-29发布

15. hackbaseVIP_42

  黑客基地VIP会员内部培训资料! 第42讲:零起点培训如何破解软件和制做注册机(Hackers VIP membership base within the training materials! 42 Lecture: Beginners training how to crack the software and making RI)

42
下载
209
浏览
2010-06-29发布

16. Korea

说明:  韩文全字符集,以及输入法介绍,希望对大家有用(Korean full character set, and the input description, we want to be useful)

0
下载
144
浏览
2010-04-09发布

17. 10_filterway

  10种软件滤波方法,10种软件滤波方法.(10 filter way)

109
下载
153
浏览
2010-02-23发布

18. Prentice.Hall.PTR.AJAX.Creating.Web.Pages.with

  While I was in college, sometime during the Pliocene, I took a science fiction class. The interesting thing about this class is that one student didn t realize until midterms that it wasn t a physiology class. I bring this up only because if you ve picked up this book expecting Corinthian helmets and hoplites, which, incidentally, have one-third less fat than regular hops (useful information for Hydras on a diet), this is the wrong book.

4
下载
170
浏览
2010-02-03发布

19. ModernWicorwaveFilterStructureAndDesign

  现代微波滤波器的结构与设计 一本非常经典的书,对从事滤波器设计的朋友很有帮助.(Modern Microwave Filter Design)

32
下载
153
浏览
2010-01-10发布

20. HackerskillV1.0

  详细描述各种环境下黑客攻击的各种手段的电子书(A detailed description of the various means of hacking e-book)

6
下载
148
浏览
2010-01-05发布