登录
首页 » Verilog » 基于nios2的花样灯

基于nios2的花样灯

于 2023-09-05 发布 文件大小:12.91 MB
0 34
下载积分: 2 下载次数: 1

代码说明:

基于Qsys的嵌入式软核设计,基于Quartus的顶层模块设计,以及利用简单的C语言在nios2中实现花样灯的程序。实验的芯片是飓风二代,EP2C8Q208C8开发板。

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • ZF-SIC_TPA
    迫零-串行干扰删除检测的程序,包括16QAM和QPSK(Zero forcing- Interference Cancellation detection procedures, including 16QAM and QPSK)
    2020-10-23 15:27:22下载
    积分:1
  • ddr3_test
    说明:  通过循环读写DDR3内存,了解其工作原理和DDR3控制器的写法,由于DDR3控制复杂,控制器的编写难度高,这里笔者介绍XILINX的MIG控制器情况下应用,是后续音频、视频等需要用到SDRAM实验的基础。(Through reading and writing DDR3 memory circularly, we can understand its working principle and the writing method of DDR3 controller. Because of the complexity of DDR3 control, it is difficult to write the controller. Here, the author introduces the application of Xilinx's MIG controller, which is the basis of SDRAM experiment for subsequent audio and video.)
    2021-04-16 10:00:15下载
    积分:1
  • Traffic-lights-at-the-crossroads
    一种十字路口交通灯在matlab环境中的实现源码(Traffic lights at the crossroads)
    2013-05-16 10:18:38下载
    积分:1
  • fft_fpga_dit
    Decimation-In-Time Fast Fourier Transform I"ve tried to make the implementation simple and well documented. I have not tried to make it efficient. dit.v - Contains main module. buffer.v - Contains a module for a single butterfly step. generate_twiddlefactors.py - Contains function to generate a verilog file with twiddlefactors. twiddlefactors_N.v.t - Template used to generate verilog file. dut_dit.v - A wrapper around the "dit" module to allow verification with MyHDL. qa_dit.py - A MyHDL test bench for verification. Requires MyHDL, iverilog and numpy to be installed. pyfft.py - Generates output of intermediate FFT stages. Useful for debugging.
    2022-03-30 05:04:52下载
    积分:1
  • da_fir
    基于FPGA分布式算法FIR滤波器verilog代码 (本人 小论文 代码,通过验证) ​ 本文提出一种新的FIR滤波器FPGA实现方法。讨论了分布式算法原理,并提出了基于分布式算法FIR滤波器的实现方法。通过改进型分布式算法结构减少硬件资源消耗,用流水线技术提高运算速度,采用分割查找表方法减小存储规模,并在Matlab和Modelsim仿真平台得到验证。​ 为了节省FPGA逻辑资源、提高系统速度,设计中引入了分布式算法实现有限脉冲响应滤波器(Finite Impulse Response, FIR)。由于FIR滤波器在实现上主要是完成乘累加MAC的功能,采用传统MAC算法设计FIR滤波器将消耗大量硬件资源。而采用分布式算法 (Distributed Arithmetic, DA),将MAC运算转化为查找表(Look-Up-Table, LUT)输出,不仅能在硬件规模上得到改善,而且更易通过实现流水线设计来提高速度。因此本文采用分布式算法设计一个可配置的FIR滤波器,并以31阶的低通FIR滤波器为例说明分布式算法滤波器结构。( FPGA verilog )
    2020-11-10 13:49:45下载
    积分:1
  • hdlsrc
    GMSK vhdl generated from simulink
    2018-11-12 22:45:36下载
    积分:1
  • Shift_reg
    一个简单移位寄存器代码,verilog HDL编写(a simple shift register example,write with verilog HDL)
    2012-03-26 21:36:01下载
    积分:1
  • 单片机课程设计——交通灯_1
    一个交通灯设计,简单的实现,没有添加其他的显示管(Traffic Light System)
    2020-06-21 10:40:02下载
    积分:1
  • pinlvji
    verilog 简易频率计的设置,包括整个工程(verilog simple frequency meter settings, including the entire project)
    2013-08-18 09:53:52下载
    积分:1
  • 16位二进制转化为BCD码
    此代码可以实现16位二进制和BCD码之间的转换。(This code can realize the conversion between 16 bit binary and BCD code.)
    2018-10-31 13:31:13下载
    积分:1
  • 696524资源总数
  • 103938会员总数
  • 55今日下载