登录
首页 » Others » 基于FPGA的自动售货机(verilog语言)

基于FPGA的自动售货机(verilog语言)

于 2020-11-28 发布
0 102
下载积分: 1 下载次数: 1

代码说明:

设定好商品,按键1选择商品,按键2、3、4为投币,再次按下按键1购买,然后再数码管上显示余额,若不够买,则显示ER

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 696524资源总数
  • 103913会员总数
  • 34今日下载