登录
首页 » VHDL » du to fpga 4*4 keyscan verilog

du to fpga 4*4 keyscan verilog

于 2022-01-25 发布 文件大小:1,018.00 B
0 45
下载积分: 2 下载次数: 1

代码说明:

基于fpga的4*4键盘扫描verilog程序-du to fpga 4*4 keyscan verilog

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • Using Verilog to write a serial transmission to the parallel transmission of the...
    一个用verilog写的串行传输到并行传输的程序,在quaters下编的-Using Verilog to write a serial transmission to the parallel transmission of the procedure, under the quaters
    2022-06-14 12:50:53下载
    积分:1
  • tdma_code
    tdma参数化模块。可以自动生成2的n次的tdma哥时隙,用户可根据需要自己配置参数(tdma see the number of model lumps. 2 n basis following manner tdma chance possible 以自 dynamic generation, for root needed self-placement see number)
    2013-09-03 21:52:51下载
    积分:1
  • fft
    说明:  用VERILOG语言实现的频谱分析仪(FFT)(VERILOG language with the Spectrum Analyzer (FFT))
    2009-08-09 16:30:23下载
    积分:1
  • DDS
    基于ARM的DDS信号发生器设计,可以产生各种信号的波形,生成所需要的信号,可供实验用(DDS signal generator based on ARM, can produce a variety of signal waveform can be used for experiment)
    2013-03-29 18:49:52下载
    积分:1
  • PID
    用Verilog HDL编写的PID程序代码,成功调试,运行良好。(The source code of PID in Verilog HDL.Simulation was successful.)
    2012-03-09 11:18:17下载
    积分:1
  • dds
    说明:  da的代码,在VHDL的编译环境下的开发。是一种集约的形式。(DA convert)
    2009-08-21 11:32:04下载
    积分:1
  • Auto Gain Control详细代码 AGC-simulink
    這裡提供Auto Gain Control 的詳細代碼與功能介紹(Here are details of the code and the Auto Gain Control Functions)
    2014-01-21 14:14:57下载
    积分:1
  • LEDbrightness
    使用PWM控制LED亮度的单片机C代码,共计十个亮度。(PWM control of LED brightness microcontroller C code, for a total of ten brightness.)
    2012-06-08 21:14:10下载
    积分:1
  • shape
    基于FPGA的成型滤波器的代码,里面内附激励文件,使用verilog编写(FPGA-based shaping filter code, which included incentives files using verilog write)
    2014-06-05 16:52:06下载
    积分:1
  • Verilog 编写的网卡DM9000A的IP核,altera公司寄的DE2系统中的源程序核...
    Verilog 编写的网卡DM9000A的IP核,altera公司寄的DE2系统中的源程序核-Verilog prepared DM9000A the IP core network card, altera company sent DE2 System source of nuclear
    2022-02-06 18:05:18下载
    积分:1
  • 696522资源总数
  • 104049会员总数
  • 30今日下载