登录
首页 » 算法 » 基于FPGA的I2S实现

基于FPGA的I2S实现

于 2022-01-26 发布 文件大小:1.35 kB
0 54
下载积分: 2 下载次数: 1

代码说明:

应用背景采用FPGA实现 的I2S接口。I2S(Inter—IC Sound)总线, 又称 集成电路内置音频总线,是飞利浦公司为数字音频设备之间的音频数据传输而制定的一种总线标准.关键技术采用FPGA实现 的I2S接口,用于传输音频数字到DAC芯片中。所采用的语言为verilog

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 696524资源总数
  • 103930会员总数
  • 47今日下载