登录
首页 » 嵌入式系统 » 一个用VHDL语言编写的全加器,是数字电路EDA设计的一个例子,可能不太特别,但是应该可以用一下的。...

一个用VHDL语言编写的全加器,是数字电路EDA设计的一个例子,可能不太特别,但是应该可以用一下的。...

于 2022-01-27 发布 文件大小:15.89 kB
0 62
下载积分: 2 下载次数: 1

代码说明:

一个用VHDL语言编写的全加器,是数字电路EDA设计的一个例子,可能不太特别,但是应该可以用一下的。-a VHDL prepared by the full adder, digital circuit design of an EDA example, may not be special, but should be able to use what they are doing.

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 696522资源总数
  • 104043会员总数
  • 35今日下载