登录
首页 » 其他项目 » 基于VHDL的全数字锁相环的设计 有关键部分的源代码 hehe !

基于VHDL的全数字锁相环的设计 有关键部分的源代码 hehe !

于 2022-02-02 发布 文件大小:164.65 kB
0 72
下载积分: 2 下载次数: 1

代码说明:

基于VHDL的全数字锁相环的设计 有关键部分的源代码 hehe !-VHDL-based all-digital phase-locked loop has a key part of the design of the source code hehe!

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 696524资源总数
  • 103930会员总数
  • 47今日下载