登录
首页 » VHDL » 基于FPGA的OFDM信号传输系统VHDL源码

基于FPGA的OFDM信号传输系统VHDL源码

于 2022-02-13 发布 文件大小:2.64 MB
0 58
下载积分: 2 下载次数: 1

代码说明:

  基于FPGA(Field-Programmable Gate Array)的OFDM(Orthogonal Frequency Division Multiplexing)信号传输系统VHDL源码 use IEEE.std_logic_unsigned.all; package outconverter is constant stage : natural := 3; constant FFTDELAY:integer:=13+2*STAGE; constant FACTORDELAY:integer:=6; constant OUTDELAY:integer:=9; function counter2addr( counter : std_logic_vector; mask1:std_logic_vector; mask2:std_logic_vector ) return std_logic_vector; function outcounter2addr(counter : std_logic_vector) return std_logic_vector; end outconverter; package body outconverter is function counter2addr( counter : std_logic_vector; mask1:std_logic_vector; mask2:std_logic_vector ) return std_logic_vector is variable result :std_logic_vector(counter"range); begin for n in mask1"range loop if mask1(n)="1" then result( 2*n+1 downto 2*n ):=counter( 1 downto 0 ); elsif mask2(n)="1" and n/=STAGE-1

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • V2.tar
    SDIO slave, written in verilog, does not support SPI mode.
    2021-04-05 16:59:04下载
    积分:1
  • 8aqm-string-and-convert-vhdl-program
    8aqm调制串并转(1:3)换部分vhdl程序(8aqm string and convert vhdl program)
    2011-01-20 18:31:26下载
    积分:1
  • FPGA60进制数码管显示VHDL代码
    FPGA设计中的60进制计数器,通过2个七段数码管系那是出来。代码简单易懂,仿真通过,而且在FPGA开发板上加载显示成功。很有用的入门代码。
    2022-07-12 13:55:48下载
    积分:1
  • ALOHA
    this program is a simulation for Aloha
    2012-11-13 11:38:10下载
    积分:1
  • ZEDBOARD
    说明:  ZEDBOARD的管脚分配图和约束文件,包括PCB图和xdc文件(Pin assignment of ZEDBOARD)
    2021-03-23 21:19:15下载
    积分:1
  • ADC-Parameter
    外部ADC采集数据,存为数组文件。通过程序读入,然后即可求出ADC的SNR、SINAD、THD、ENOB等。(External ADC data collection, stored as an array of documents. Read through the program, then the ADC SNR, SINAD, THD, ENOB can be calculated.)
    2021-03-15 21:39:22下载
    积分:1
  • P4 (3)
    支持{addu、subu、lui、ori、jal、jr、lw、sw、nop}指令集的单周期CPU,verilog硬件描述语言实现(Support {addu, subu, lui, ori, jal, jr, lw, sw, nop} instruction set of one-cycle CPU, Verilog hardware description language implementation)
    2018-12-02 17:22:40下载
    积分:1
  • fpq
    介绍了基于VHDL的可编程分频器在波形发生器中的应用的方法,利用这一方法, 可使波形频率在大范围内变化。()
    2007-07-24 15:46:43下载
    积分:1
  • clkdiv
    基于Verilog的FPGA时钟分频程序(FPGA clock frequency division program based on Verilog)
    2018-06-10 17:08:57下载
    积分:1
  • vhdl
    code for fft non synthesisable in xilinx ise
    2013-09-30 13:16:13下载
    积分:1
  • 696524资源总数
  • 103920会员总数
  • 65今日下载