登录
首页 » VHDL » 基于EPM1270

基于EPM1270

于 2022-02-27 发布 文件大小:68.10 kB
0 36
下载积分: 2 下载次数: 1

代码说明:

基于EPM1270的EProm at24c02 驱动-Based on the EPM1270

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • MifFileGen
    VC++6.0软件生成Altera公司FPGA内部存储器ROM初始化数据mif格式文件。方便通过QuartusII导入波形等参数。强调这个是例子,生成的是一个定点的正弦数据表文件,需要用到的请自行修改源代码。(This software generates internal memory ROM initialization mif format data file for FPGA product by Altera. Facilitate the passage of the waveform parameters such as import QuartusII)
    2013-07-19 02:32:45下载
    积分:1
  • psk_rician-channel-MATLAB
    QPSK在赖斯信道下的模拟仿真,包括K=6和K=10下的情况(QPSK in, Laisi Xin Road, under the simulation, including the case of K = 6 and K = 10 under)
    2013-04-26 21:30:18下载
    积分:1
  • 16点FFT的VHDL源代码,快速傅里叶变换的xfft16(FFT)计算核心…
    16: 00 FFT VHDL源代码,xFFT16快速傅立叶变换(FFT)核心计算16点复数FFT。输入数据是16个复数值的向量,表示为16位2s补码-16位表示一个数据的实部和虚部。
    2022-05-17 22:16:24下载
    积分:1
  • wp_max_flash
    FPGA中FLASH配置控制源码,VHDL和Verilog(FPGA source code in the FLASH configuration control, VHDL and Verilog)
    2007-12-11 15:57:15下载
    积分:1
  • 明白4
    实现了一个四层单电梯控制系统。门可以自动开关,也可以手动开关。代码可以集成,不超过驱动的现象。
    2022-04-10 00:20:47下载
    积分:1
  • Masseffect-3---Jane-Shepard
    超級好用 25M~100HZ的除頻器 寫了好久 超級實用 歡迎下載(Super easy to 25M ~ 100HZ of divider wrote a long time super practical welcome to download)
    2013-09-13 13:33:13下载
    积分:1
  • VGA显示汉字
    基于FPGA的VGA驱动代码VHDL 在显示屏显示一个汉字-FPGA-based VHDL code of the VGA driver that a character in the display
    2022-04-08 04:51:00下载
    积分:1
  • 加法器(使用verilog编写的),虽然简单,但是这也是学习verilog最基础的东西!希望大家一起学习!...
    加法器(使用verilog编写的),虽然简单,但是这也是学习verilog最基础的东西!希望大家一起学习!-The accumulator (uses the verilog compilation), although it is simple, but this also is studies most foundation of the verilog! Hopes everybody studies together!
    2023-07-08 05:35:13下载
    积分:1
  • qam_64
    Verilog语言下QAM调制的DDS实现(The QAM Modulation DDS achieve)
    2021-02-20 11:59:43下载
    积分:1
  • FFT的VHDL代码
    FFT VHDL code
    2022-08-24 16:05:15下载
    积分:1
  • 696524资源总数
  • 103920会员总数
  • 65今日下载