登录
首页 » 电子书 » 程序实现的功能是在VGA显示器上显示彩色条纹,共8种颜色, 可以使用嵌入式逻辑分析仪观测信号...

程序实现的功能是在VGA显示器上显示彩色条纹,共8种颜色, 可以使用嵌入式逻辑分析仪观测信号...

于 2022-03-03 发布 文件大小:6.66 kB
0 59
下载积分: 2 下载次数: 1

代码说明:

程序实现的功能是在VGA显示器上显示彩色条纹,共8种颜色, 可以使用嵌入式逻辑分析仪观测信号-Realize the function of the procedure is displayed on the monitor in the VGA color stripes, a total of eight kinds of colors, you can use the embedded logic analyzer observation signal

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 696522资源总数
  • 104040会员总数
  • 35今日下载