登录
首页 » VHDL » 自己今年的毕业设计DDS波形发生器,有正弦波,方波,三角波,锯齿波....

自己今年的毕业设计DDS波形发生器,有正弦波,方波,三角波,锯齿波....

于 2022-03-07 发布 文件大小:1.31 MB
0 54
下载积分: 2 下载次数: 1

代码说明:

自己今年的毕业设计DDS波形发生器,有正弦波,方波,三角波,锯齿波.-Their own design this year

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 696522资源总数
  • 104047会员总数
  • 21今日下载