登录
首页 » VHDL » 基于FPGA的高性能32位浮点FFTIP核的开发,适合fpga工程技术人员参考...

基于FPGA的高性能32位浮点FFTIP核的开发,适合fpga工程技术人员参考...

于 2022-10-24 发布 文件大小:7.16 MB
0 91
下载积分: 2 下载次数: 1

代码说明:

基于FPGA的高性能32位浮点FFTIP核的开发,适合fpga工程技术人员参考-FPGA-based high-performance 32-bit floating-point nuclear FFTIP development, engineering and technical personnel for reference fpga

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • Turbo码编码译码器的研究及其FPGA实现.
    在Altera公司的Quartus II软件平台下完成了基于Log-MAP算法的Turbo码编译码器的FPGA设计及实现。在Turbo码的FPGA设计与实现部分,主要针对了 Turbo码的编译码器中各个重要模块进行了设计和实现,例如编码器中RSC分量译码器、交织器,以及译码器中对数据量化和运算、E函数、SISO分量译码器(分支度量、前向递推、后向递推以及对数释然比的计算)的设计与实现。
    2022-08-25 16:51:06下载
    积分:1
  • ADS7870 Serial ADC Interface Using a CPLD
    ADS7870 Serial ADC Interface Using a CPLD, The system includes an XPLA3 CoolRunner CPLD, a Texas Instruments ADS7870 ADC, and a Toshiba SRAM, All related VHDL source code is provided
    2022-04-01 16:06:07下载
    积分:1
  • 用VERILOG语言编写的电子琴程序.用GW48教学实验箱仿真的
    用VERILOG语言编写的电子琴程序.用GW48教学实验箱仿真的-Using Verilog language organ procedures. GW48 teaching experiment with simulation boxes
    2022-03-01 23:12:48下载
    积分:1
  • basic_cpu_mano_ise_vhdl
    morris mano basic vhdl code in ise
    2014-01-13 05:52:01下载
    积分:1
  • 曼彻斯特编解码,是Verilog语言代码,不多介绍了,用途非常广泛了...
    曼彻斯特编解码,是Verilog语言代码,不多介绍了,用途非常广泛了-Manchester encoding and decoding is the Verilog language code, introduced a few, a very extensive use
    2022-04-01 23:58:18下载
    积分:1
  • Verilog_SimpleCalculator-master
    这是一个计算器的Verilog代码,可实现加减乘除等基础功能(calcultor for you to do some reserches.)
    2017-12-24 10:24:59下载
    积分:1
  • 1602C
    文件名:lcd1602lib.h 内 容:1602液晶的控制端口、数据端口和相关操作(The file name: lcd1602lib. H * inside let: 1602 LCD control port, data port and related operations )
    2012-05-08 15:15:36下载
    积分:1
  • 512×8bid的FIFO 含工程文件,基于QUARTUs
    512×8bid的FIFO 含工程文件,基于QUARTUs-512 × 8bid the FIFO with the project document, based on the QUARTUsII
    2022-03-14 07:41:33下载
    积分:1
  • DA_Test
    说明:  基于CycloneV FPGA与电阻网络的数模转换器代码,能够实现键控更改频率,通过ROM IP核存储波形数据。(Digital to analog converter code based on cyclonev FPGA and resistance network can realize keying change frequency and store waveform data through ROM IP core.)
    2020-03-29 22:36:29下载
    积分:1
  • 非常好的VHDL音乐
    library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity song is    port(clk_4MHz,clk_4Hz:in std_logic;      ----预置计数器和乐谱产生器的时钟         digit:buffer std_logic_vector(6 downto 0);  ----高、中、低音数码管指示         zero:out std_logic_vector(4 downto 0);     ----用于数码管高位置低  
    2022-12-29 04:50:03下载
    积分:1
  • 696518资源总数
  • 105547会员总数
  • 4今日下载