登录
首页 » 嵌入式系统 » CPLD器件中常用编程,对于多进程做了很好的处理,能实现0到59计数,还可自己根据需要调整,实现多种计数功能,从而实现时分秒计时器的功能...

CPLD器件中常用编程,对于多进程做了很好的处理,能实现0到59计数,还可自己根据需要调整,实现多种计数功能,从而实现时分秒计时器的功能...

于 2022-03-13 发布 文件大小:689.00 B
0 42
下载积分: 2 下载次数: 1

代码说明:

CPLD器件中常用编程,对于多进程做了很好的处理,能实现0到59计数,还可自己根据需要调整,实现多种计数功能,从而实现时分秒计时器的功能-CPLD devices commonly used in programming, for many the process to do a very good deal, can achieve 0-59 count, but also need to be adjusted in accordance with their own, achieve a variety of counting functions, when every minute timer in order to realize the function of timer

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 红外触摸屏-支持触摸四点
    资源描述该工程是市面流行红外触摸屏,支持四点触控,内包含了红外触摸屏多点识别算法,红外灯控制算法,USB-HID设备类开发,可以用来学习USB-HID设备类开发,同时内修改了4点标准触控报表。该工程所使用的MCU为市面是流行主流MCU-STM32,值得参考和学习。
    2023-02-13 11:50:04下载
    积分:1
  • 这个程序是用一些对象写的
    The program was written with some object-orientation in mind, which means that all functions that operate on a certain structure, has the structure s name as prefix in the function name, for example "Bitstream_get" which gets bits from a bitstream structure. -The program was written with some object-o rientation in mind, which means that all functions that operate on a certain structure, has the structure"s name as prefix in the functio n name, for example "Bitstream_get" which gets bits fr om a fade structure.
    2022-08-13 13:32:00下载
    积分:1
  • s3c2440开发板使用手册,阳初开发板
    s3c2440开发板使用手册,阳初开发板-s3c2440 development board manual, Yang first development board
    2022-02-11 21:22:59下载
    积分:1
  • usb接口的scanner的驱动,稍加修改就可以使用了. 测试过了,可以使用...
    usb接口的scanner的驱动,稍加修改就可以使用了. 测试过了,可以使用-usb connection scanner actuation, slightly revised may use Has tested, may use
    2022-07-16 10:28:06下载
    积分:1
  • 基于单片机AT89C52的温湿度传感器显示程序
    基于单片机AT89C52的温湿度传感器显示程序-AT89C52 single-chip based on the temperature and humidity sensors display program
    2022-01-24 09:14:32下载
    积分:1
  • 飞恒地磅管理V2.0
    飞恒地磅管理系统源代码,支持多种传感器,可编程。语言:Delphi 6/7 相关控件:FastReport 2.4以上, Ehlib 3.4以上
    2022-06-21 20:36:09下载
    积分:1
  • 蜂鸣器911报警(C语言)
    蜂鸣器911报警(C语言)--单片机学习资料--c语言的-Buzzer 911 (C language)- MCU learning materials- c language
    2023-07-04 08:55:03下载
    积分:1
  • Collection of commonly used operational amplifier circuit, the United States Na...
    《常用运放电路集锦》美国国家半导体公司 资料 介绍了运放的典型应用- Collection of commonly used operational amplifier circuit, the United States National Semiconductor OPAMP information on the typical application
    2022-05-15 03:45:37下载
    积分:1
  • 图形液晶显示器
    这个程序使用图形液晶显示器与单片机的接口。数值用于 0108年控制器
    2022-01-23 10:29:23下载
    积分:1
  • 洗衣机模拟
    应用背景洗衣机(WM)模拟项目来测试WM控制板。项目由微控制器(MC)板连接WM WIA RS232和上位机软件与操作者交互。STM32的发现作为MC基地perepherial匹配信号模块扩展。 ;这一切都使得它可以测试控制板没有机器本身的洗衣机。提供的洗涤程序的各个阶段。关键技术洗衣机模拟控制板单片机RS232软件STM32发现入门套件 ;信号模块的C / C #编程语言基础sharpdeveoper IDE芯片ARM Cortex3
    2022-03-13 12:09:05下载
    积分:1
  • 696524资源总数
  • 103945会员总数
  • 46今日下载