登录
首页 » 其他项目 » jh_cpu是CPU 12地址,8数据总线,并提供直接地址,间接地..

jh_cpu是CPU 12地址,8数据总线,并提供直接地址,间接地..

于 2022-03-14 发布 文件大小:50.57 kB
0 60
下载积分: 2 下载次数: 1

代码说明:

Jh_cpu is a cpu with 12 address,8 data bus, adn give direct address ,indirect address two addressin way.-This VHDl code can provide a total clear and detail process to create a basic function risc cpu.

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 696524资源总数
  • 103920会员总数
  • 65今日下载