登录
首页 » VHDL » ALTERA NIOS处理器,用VHDL在QUARTUS下编写,用NIOS SHELL调试通过,实验LCD液晶显示...

ALTERA NIOS处理器,用VHDL在QUARTUS下编写,用NIOS SHELL调试通过,实验LCD液晶显示...

于 2022-03-20 发布 文件大小:35.01 kB
0 47
下载积分: 2 下载次数: 1

代码说明:

ALTERA NIOS处理器,用VHDL在QUARTUS下编写,用NIOS SHELL调试通过,实验LCD液晶显示-Altera NIOS processor, using VHDL in QUARTUS prepared with NIOS SHELL debug through experimental LCD

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • VGA_FPGA
    基于FPGA的VGA控制器,可在屏幕显示彩色条纹(A vga controller based on FPGA)
    2014-08-15 21:35:07下载
    积分:1
  • a cycle ruduandency code
    实现一个循环冗余码,是老师给的例子,别的同学已经验证-a cycle ruduandency code
    2023-04-27 23:30:03下载
    积分:1
  • 用VHDL编写的8259控制,供大家使用.
    用VHDL编写的8259控制,供大家使用.-with VHDL control of the preparation of the 8259, for your use.
    2023-07-08 01:55:02下载
    积分:1
  • 自适应均衡器
    自适应均衡器
    2022-07-13 15:30:18下载
    积分:1
  • High
    高速多通道crc实现,可以并行实现5个通道数据的校验,支持10GB以太网标准-High-speed multi-channel crc implementation, can be achieved in parallel 5-channel data validation, support for 10GB Ethernet standard
    2022-07-18 13:13:37下载
    积分:1
  • PS2_Core
    or1200 PS2_Core code
    2010-07-18 23:26:44下载
    积分:1
  • e2prom_rd
    Verilog HDL 读取EEPROM项目的详细构建(Verilog HDL EEPROM read the detailed construction)
    2013-05-25 11:53:20下载
    积分:1
  • 读写FAT32文件系统的SD卡实验
    资源描述该程序可以读写FAT32文件系统的SD卡。SD卡的接口现在采用IO模拟接口方式。在板子SD卡座上插上FAT32格式的SD卡,请连接下载线的JTAG接口到板子,下载fpga的SOF文件,再下载NIOSII IDE中的文件。
    2022-02-09 20:27:01下载
    积分:1
  • vhdl的一个串行序列信号发生器的设计与实现
    vhdl的一个串行序列信号发生器的设计与实现-vhdl sequence of a Serial Signal Generator Design and Implementation
    2022-04-24 02:34:50下载
    积分:1
  • 用Verilog HDL语言编写的AT24C04程序,并用数码管显示,已经过测试,很好用...
    用Verilog HDL语言编写的AT24C04程序,并用数码管显示,已经过测试,很好用-With the Verilog HDL language of the AT24C04 procedures and use digital tube display, has been tested, very good to use--
    2022-03-19 15:00:00下载
    积分:1
  • 696524资源总数
  • 103872会员总数
  • 62今日下载