登录
首页 » VHDL » 数字频率计VHDL程序

数字频率计VHDL程序

于 2022-05-21 发布 文件大小:1.66 kB
0 50
下载积分: 2 下载次数: 1

代码说明:

数字频率计VHDL程序 --文件名:plj.vhd。 --功能:频率计。具有4位显示,能自动根据7位十进制计数的结果,自动选择有效数据的 --高4位进行动态显示。小数点表示是千位,即KHz。-Digital Cymometer VHDL procedures- File name: plj.vhd.- Function: frequency meter. With four shows that will automatically count seven decimal results, automatic selection of effective data- four for the high dynamic display. Decimal point that is 1000, or KHz.

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • z80_latest.tar
    Vhdl design z80 for altera users
    2013-04-24 14:47:01下载
    积分:1
  • FFT程序,此程序虽然耗逻辑资源很大,但是在接受数据后的第7个时钟沿就可以输出FFT变换后的数据,对要求时延较低的系统可以考虑...
    FFT程序,此程序虽然耗逻辑资源很大,但是在接受数据后的第7个时钟沿就可以输出FFT变换后的数据,对要求时延较低的系统可以考虑-FFT procedure, this procedure should not consume a lot of logic resources, but the data in the first seven clock can be output along the FFT transformed data, the requirements of time-delay system can be considered lower
    2022-05-13 18:56:56下载
    积分:1
  • dw_ahb_dmac_db
    It is Synopsys dmac controller databook
    2020-10-10 10:27:34下载
    积分:1
  • UART_RS232_Altera
    在Altera开发板上实现RS232串口通信,平台为CycloneII,可通过QuartusII软件修改引脚移植到其它平台(Realize RS232 serial communication on Altera development board, platform for CycloneII, through software QuartusII modify pin portable to other platforms)
    2016-03-25 20:29:04下载
    积分:1
  • Microsoft-Word--(11)
    信号源模块源程序,可以实现程序模块的实现,然后发生需要的程序(Source module source code, you can achieve the realization of the program modules, and the occurrence of the required procedures)
    2014-12-30 11:12:32下载
    积分:1
  • DDR3_user_design
    在Xilinx开发环境ISE13.2上用MIG产生的DDR3 SDRAM控制器,里面生成了Core,可用于DDR3读写控制(On the Xilinx development environment ISE13.2 generated with MIG DDR3 SDRAM controller, which generates the Core, DDR3 can be used to read and write control)
    2012-02-02 15:16:00下载
    积分:1
  • DDS调试心得,VERIOLG 各HDL和VHDL语言的DDS调试方法
    DDS调试心得,VERIOLG 各HDL和VHDL语言的DDS调试方法-DDS debugging experience, VERIOLG the HDL and VHDL languages DDS debugging method
    2022-06-26 23:09:02下载
    积分:1
  • 系统设计
    说明:  基于数码管独立显示和三色灯的交通指示系统设计(Design of Traffic Indicator System Based on Digital Tube Independent Display and Tri-color Lamp)
    2020-06-21 02:00:01下载
    积分:1
  • PWM
    基于FPGA的PWM控制器设计,包含ADC0820模块,按键扫描,PID,PWM控制器等模块,VHDL语言完成,已仿真通过(PWM controller design based on FPGA, including ADC0820 module, key scan, PID, PWM controllers and other modules, VHDL language completed, through simulation)
    2016-05-01 15:05:58下载
    积分:1
  • eeprom
    实现I2C协议下EEPROM存储的数据读写控制(Under I2C protocol to achieve read and write data stored in EEPROM control)
    2014-03-05 20:24:21下载
    积分:1
  • 696524资源总数
  • 103988会员总数
  • 56今日下载