登录
首页 » 其他项目 » 用VHDL设计CRC发生器和校验器,供初学者参考。

用VHDL设计CRC发生器和校验器,供初学者参考。

于 2022-06-14 发布 文件大小:110.31 kB
0 68
下载积分: 2 下载次数: 1

代码说明:

用VHDL设计CRC发生器和校验器,供初学者参考。-CRC generator and calibration device for advanced users.

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 696524资源总数
  • 103801会员总数
  • 61今日下载