登录
首页 » 通信 » LFSR的Verilog源代码,生成伪

LFSR的Verilog源代码,生成伪

于 2022-07-23 发布 文件大小:1.80 kB
0 47
下载积分: 2 下载次数: 1

代码说明:

线性反馈移位寄存器Verilog源程序,能够产生伪随机序列-LFSR Verilog source code, to generate pseudo-random sequence

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 696523资源总数
  • 104004会员总数
  • 16今日下载