登录
首页 » VHDL » Building the CPU datapath

Building the CPU datapath

于 2022-07-24 发布 文件大小:43.47 kB
0 37
下载积分: 2 下载次数: 1

代码说明:

Building the CPU datapath

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • msp430x41x
    低电源电压范围为1.8 V至3.6 V 超低功耗: - 主动模式:280μA,在1 MHz,2.2伏 - 待机模式:1.1μA - 关闭模式(RAM保持):0.1μA 五省电模式 欠待机模式唤醒 超过6微秒 16位RISC架构, 125 ns指令周期时间 12位A/ D转换器具有内部 参考,采样和保持,并 AutoScan功能 16位Timer_B随着三† 或七‡ 捕捉/比较随着阴影寄存器 具有三个16位定时器A 捕捉/比较寄存器 片上比较器 串行通信接口(USART), 选择异步UART或 同步SPI软件: - 两个USART(USART0 USART1)的† - 一个USART(USART0)‡ 掉电检测 电源电压监控器/监视器 可编程电平检测 串行板载编程, 无需外部编程电压 安全可编程代码保护 融合(Low Supply-Voltage Range, 1.8 V to 3.6 V Ultralow-Power Consumption: − Active Mode: 280 µ A at 1 MHz, 2.2 V − Standby Mode: 1.1 µ A − Off Mode (RAM Retention): 0.1 µ A Five Power Saving Modes Wake-Up From Standby Mode in Less Than 6 µ s 16-Bit RISC Architecture, 125-ns Instruction Cycle Time 12-Bit A/D Converter With Internal Reference, Sample-and-Hold and Autoscan Feature 16-Bit Timer_B With Three† or Seven‡ Capture/Compare-With-Shadow Registers 16-Bit Timer_A With Three Capture/Compare Registers On-Chip Comparator Serial Communication Interface (USART), Select Asynchronous UART or Synchronous SPI by Software: − Two USARTs (USART0, USART1)† − One USART (USART0)‡ Brownout Detector Supply Voltage Supervisor/Monitor With Programmable Level Detection Serial Onboard Programming, No External Programming Voltage Needed Programmable Code Protection by Security Fuse)
    2012-05-31 15:26:33下载
    积分:1
  • ccd
    自己写的一个tcd1209d的时序驱动代码,是用verilog语言编写的,可以借鉴(Of write a tcd1209d of timing-driven code, Verilog language, can learn from)
    2021-04-08 09:39:00下载
    积分:1
  • 11-07-11
    AD9910实现脉冲内线性调频信号,仅供参考(AD9910 to achieve linear FM pulse signal, for reference only)
    2013-09-16 10:52:00下载
    积分:1
  • DE2-chinese-user-manual
    友晶 altera DE2开发板中文用户手册,对DE2开发板的完整介绍。(DE2 development board Chinese user manual, a complete description of the DE2 board.)
    2012-04-12 10:28:30下载
    积分:1
  • stm32adc12路采集DMA
    adc采集多路采集多通道基于dma的adc采集(ADC acquisition, multi-channel acquisition and multi-channel acquisition)
    2020-06-19 06:20:01下载
    积分:1
  • SHUMAGUAN
    说明:  FPGA 点亮数码管的灯,本例程支持6位数码管,因为我的FPGA开发板是这样子的(The lamp of digital tube illuminated by FPGA)
    2020-06-18 10:20:02下载
    积分:1
  • XilinxFpgaDesignAndTest
    Xilinx fpga 设计培训中文教程,比较好的学习FPGA入门的教程(Xilinx fpga design training for Chinese curricula, better start learning FPGA Tutorial)
    2020-08-13 15:58:30下载
    积分:1
  • FPGA SDRAM with the operation of the specific see internal note
    用FPGA实现SDRAM的操作,具体操作见内部说明文件-FPGA SDRAM with the operation of the specific see internal note
    2022-01-22 01:54:54下载
    积分:1
  • LED 闪烁的VHDL代码
    LED闪烁的VHDL代码
    2022-07-28 10:23:55下载
    积分:1
  • STM32与FPGA通信
    stm32与fpga之间的通信,协议是SPI的,可双向通信(双向通信需要自己例化,只例化了fpga到stm32)(Communication between STM32 and FPGA, the protocol is SPI, two-way communication (two-way communication needs to be taken as an example, only FPGA to STM32))
    2020-11-16 09:49:40下载
    积分:1
  • 696522资源总数
  • 104027会员总数
  • 45今日下载