登录
首页 » 嵌入式系统 » 基于Verilog 实现RS232的通讯

基于Verilog 实现RS232的通讯

于 2022-08-09 发布 文件大小:318.86 kB
0 50
下载积分: 2 下载次数: 1

代码说明:

应用背景这是通过Verilog硬件描述语言,在XILINX 公司的KINTEX-7系列的FPGA上实现的RS232串口通讯的功能。经过本人亲自验证,完全可以实现通讯的功能。关键技术本模块的功能是验证实现和PC机进行基本的串口通信的功能。需要在PC机上安装一个串口调试工具来验证程序的功能。程序实现了一个收发一帧10个bit(即无奇偶校验位)的串口控制器,10个bit是1位起始位,8个数据位,1个结束位。串口的波特律由程序中定义的div_par参数决定,更改该参数可以实现相应的波特率。程序当前设定的div_par 的值是0x104,对应的波特率是9600。用一个8倍波特率的时钟将发送或接受每一位bit的周期时间划分为8个时隙以使通信同步.

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 696522资源总数
  • 104040会员总数
  • 35今日下载