登录
首页 » 通信 » vc network video phone system, tested, stability sometimes, but the call quality...

vc network video phone system, tested, stability sometimes, but the call quality...

于 2022-10-04 发布 文件大小:1.04 MB
0 40
下载积分: 2 下载次数: 1

代码说明:

vc网络视频电话系统,经过测试,稳定性有时会有问题,但通话质量相当好,而且可用于无线网络,欢迎大家测试!-vc network video phone system, tested, stability sometimes, but the call quality is very good, but can be used for wireless network, we welcome testing.

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 将发送到卫星
    这是数据发送到卫星服务器的源代码。定期在 30 分钟内发送数据。数据包括:-RPM 引擎-RPM 螺旋桨-运行小时的柴油发电机组
    2022-07-19 05:09:47下载
    积分:1
  • WinSIP-0.0.3
    Traffic generator (winsip is both voice and video VoIP software, a simple user interface, allowing users to easily create their own test scenarios, and complete SIP voice/video 7 layer simulation function is the essence of this set of test instrument.
    2022-06-13 05:01:10下载
    积分:1
  • 过串口直接发送文件的例子
    通过串口直接发送文件的例子-sent directly through serial documents examples
    2022-10-23 23:05:03下载
    积分:1
  • 串口收发程序
    fafasdfasfasfasdfasfasfas fsdafasfasdgfdhfdghgdfjhdfghdgf hdfghfdghfdghdfghdfghdfg// DlgSend.cpp : implementation file // Download by http://www.codefans.net #include "stdafx.h" #include "SCC.h" #include "DlgSend.h" #ifdef _DEBUG #define new DEBUG_NEW #undef THIS_FILE static char THIS_FILE[] = __FILE__; #endif ///////////////////////////////////////////////////////////////////////////// // CDlgSend dialog CDlgSend::CDlgSend(CWnd* pParent /*=NULL*/)  : CDialog(CDlgSend::IDD, pParent) {  //{{AFX_DATA_INIT(CDlgSend)  m_nCycMode = 0;  m_nCycSel = 0;  m_unTime = 1000;  m_unLine = 1;  m_unStart = 1;  m_unEnd = 2;  m_unTrigger = 0;  //}}AFX_DATA_INIT } void CDlgSend::DoDataEx
    2022-03-05 20:00:41下载
    积分:1
  • 3G中重要的应用,分析讲解了可视电话的基本原理和令流程,及一些背景知识...
    3G中重要的应用,分析讲解了可视电话的基本原理和信令流程,及一些背景知识-3G important application, analysis of the video telephony on the basic principles and signaling processes, and some background knowledge
    2022-03-05 12:35:42下载
    积分:1
  • The main document describes the TMS320C5000 series of DSP
    文档主要描述TMS320C5000系列DSP的bootloader技术,讲述了不同的引导方法,对于实际工程应用很有帮助-The main document describes the TMS320C5000 series of DSP
    2023-05-03 02:20:03下载
    积分:1
  • VB进程间内存映像共享、进程间
    演示利用 Matthew Curland的内存映像模块实现内存共享,进程间通讯、发送数据
    2022-11-23 12:40:03下载
    积分:1
  • 用VHDL实现的FFT,经过测试,效果很不错!值得一看
    用VHDL实现的FFT,经过测试,效果很不错!值得一看-Use vhdl to do fft,after test,we can see the result is very good!
    2022-02-15 09:35:29下载
    积分:1
  • 快递送货系统
    项目特点办公室登录快递加入出货编辑/更新出货列出所有出货搜寻方式发运编号查看报告项目技术指标技术:PHP,MySQL和JavaScript的难度级别:初级排名:5/10
    2022-01-21 03:59:57下载
    积分:1
  • documents in a category of operations Serial procedures
    一类操作中的文件序列程序
    2023-09-05 15:20:03下载
    积分:1
  • 696524资源总数
  • 103939会员总数
  • 12今日下载