登录
首页 » 其他项目 » 时钟由Verilog可计数从00:00至23:59写作。带一个文件到…

时钟由Verilog可计数从00:00至23:59写作。带一个文件到…

于 2022-10-19 发布 文件大小:36.91 kB
0 62
下载积分: 2 下载次数: 1

代码说明:

A clock writing by Verilog which can count from 00:00 to 23:59. With a C file to see the simulation results. A co-design example of C and Verilog.

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 696524资源总数
  • 103938会员总数
  • 55今日下载