登录
首页 » VHDL » 实用的程序代码,希望对大家有用,已经调试通过

实用的程序代码,希望对大家有用,已经调试通过

于 2022-11-18 发布 文件大小:380.55 kB
0 41
下载积分: 2 下载次数: 1

代码说明:

实用的程序代码,希望对大家有用,已经调试通过-Practical program code, in the hope that useful to everybody, has debugging through

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • uart_test
    verilog实现UART收发功能,硬件平台为spartan 6,软件平台为ise14.7(verilog implement UART rx and tx function)
    2017-10-07 16:34:13下载
    积分:1
  • VHDL设计的功能齐全的交通灯程序,经过仿真一切功能符合要求。...
    VHDL设计的功能齐全的交通灯程序,经过仿真一切功能符合要求。-VHDL
    2022-01-25 23:26:36下载
    积分:1
  • verilog hdl教程135例:verilog hdl语言类似于C语言,便于学习。本文档带有源代码,7...
    verilog hdl教程135例:verilog hdl语言类似于C语言,便于学习。本文档带有源代码,7-8章-Verilog HDL 135 cases Guide : Verilog HDL language similar to the C language, to facilitate learning. This document with the source code, 7- 8
    2022-11-14 03:30:03下载
    积分:1
  • E5_1_AskMod
    matlab仿真2ask和4ask.可观察信号的时域波形和频谱图。(Matlab simulation 2ask and 4ask. Can observe the signal time domain waveform and spectrum.)
    2021-03-08 17:29:28下载
    积分:1
  • 半加器
    半加器
    2022-10-16 16:40:03下载
    积分:1
  • AD7266Verilog
    AD7762配置程序,对学习很有帮助,值得下载使用。希望对大家有帮助。(AD7762 configuration program, to learn helpful, worthwhile download. Hope everyone has to help.)
    2021-02-24 13:39:40下载
    积分:1
  • IIC slave controller source code
    IIC slave controller source code
    2022-02-15 09:45:19下载
    积分:1
  • 256 点的 IFFT 执行的设计与实现
    执行 256 点, 基数 4 IFFT 算法,提出了一种高速和 16 位复杂 IFFT。通过 使用固定的几何寻址模式,管道设计和块浮点 结构,数据具有更高的精度和动态范围。建议 本文分析了逻辑大小、 面积、 功耗的体系结构 使用 Xilinx 8.2。
    2022-03-04 17:43:30下载
    积分:1
  • multiply
    由verilog编写的乘法器,通过两个文件的调用实现。由于子模块的调用使得程序简化了许多。(Prepared by the Verilog multiplier, through the realization of the two documents call. As the sub-modules to simplify the procedure call makes a lot.)
    2008-12-30 20:51:33下载
    积分:1
  • snake_VHDL
    基于vhdl编写的贪吃蛇游戏,课程设计必备(Based on the VHDL language of the snake game, curriculum design essential)
    2020-11-06 09:49:50下载
    积分:1
  • 696524资源总数
  • 103938会员总数
  • 55今日下载