-
完成PWM控制采用VHDL语言,你可以看看它。
done pwm control using vhdl ,you can look at it.
- 2022-02-06 06:14:03下载
- 积分:1
-
基于FPGA的实现小数倍分频代码,广泛应用于数字通信中。
基于FPGA的实现小数倍分频代码,广泛应用于数字通信中。-FPGA-based implementation of a small multiple of sub-frequency code, widely used in digital communications.
- 2022-04-19 03:39:18下载
- 积分:1
-
杉木过滤器
应用背景与转置结构的FIR滤波器的设计基于延迟元件作为D触发器单元。加法器乘法器和延迟元件设计中扮演着重要的角色。它完全建成4抽头的FIR滤波器的设计与常规设计。在 ;信号处理,一个 ;有限脉冲响应(FIR) ;过滤器是一种过滤器 ; ;脉冲响应的 ; ;(或响应任何有限长度的输入)是有限 ; ;时间,因为它解决了在有限的时间内为零。这是在对比 ;无限脉冲响应(IIR)滤波器 ;,其中可能有内部反馈和可能继续无限期地回应(通常衰减)。关键技术它将实现在Xilinx ISE Design Suite 14版合成。 ;
- 2022-02-20 21:36:19下载
- 积分:1
-
参数化 FFT
我在这里附上该程序用于计算数组中的 fft。这里的蝴蝶是首次描述即基本关闭 fft 逻辑。一套是包括包含有可从与复杂的有符号类型转换的功能。Fft_engine 是为实现逻辑的主要程序。
也还会创建用于存储旋转因子在 fpga 上的 vhdl 代码。
- 2022-03-16 05:47:35下载
- 积分:1
-
VHDL-the-count
利用VHDL 硬件描述语言设计一个0~9999 的加法计数器。根据一定频率的触发
时钟,计数器进行加计数,并利用数码管进行显示,当计数到9999 时,从0 开始重新计数(Use of VHDL hardware description language design a 0 ~ 9999 addition counter. According to a certain frequency of the trigger
The clock, counter add count, and use digital pipes to show that when the count to 9999, starting from 0 to count
)
- 2012-01-13 14:01:38下载
- 积分:1
-
Practical-Statecharts-in-C-and-CPP
QP编程创始人所著的介绍QP编程思想的书,中文版。QP是用于嵌入式中状态机编程的开源软件。(QP programming book written by the founder of the introduction of QP programming ideas, and Chinese version. QP is open source software for embedded state machine programming.)
- 2015-03-07 18:00:15下载
- 积分:1
-
DC motor controller is excellent VHDL source code can be sown in simulation tool...
直流电机控制器,属于精品vhdl源码,可在eda仿真工具上仿真实现-DC motor controller is excellent VHDL source code can be sown in simulation tools Simulation
- 2022-09-13 06:40:03下载
- 积分:1
-
非常好的VHDL音乐
library ieee;
use
ieee.std_logic_1164.all;
use
ieee.std_logic_unsigned.all;
entity song is
port(clk_4MHz,clk_4Hz:in std_logic;
----预置计数器和乐谱产生器的时钟
digit:buffer std_logic_vector(6 downto 0); ----高、中、低音数码管指示
zero:out std_logic_vector(4 downto 0); ----用于数码管高位置低
- 2022-12-29 04:50:03下载
- 积分:1
-
可在FPGA上运行的8051 IP core,是学习FPGA及SPOC的好资料。
可在FPGA上运行的8051 IP core,是学习FPGA及SPOC的好资料。-FPGA can be run on 8051 IP core, is to learn from FPGA and SPOC good information.
- 2022-03-26 18:10:19下载
- 积分:1
-
tdm_latest[1]
TDM,就是时分复用。本程序完成4通道,没通道最多32路64K信号的交换,就是说可以完成32x4个电话信号交换(TDM, is time-division multiplexing. The process is complete 4-channel, no channel up to 64K 32 to exchange signals, that can be done 32x4 telephone signal exchange)
- 2010-07-07 15:28:06下载
- 积分:1