登录
首页 » VHDL » 基于FPGA的六路抢答器

基于FPGA的六路抢答器

于 2023-01-01 发布 文件大小:1.35 MB
0 57
下载积分: 2 下载次数: 2

代码说明:

设计一个可供6组参赛选手使用的抢答器,具体要求如下:1)  可容纳6组参赛者的数字智能抢答器,每组设置一个抢答按钮供抢答者使用;2)  电路具有第一抢答信号的鉴别和锁存功能;3)  设置计分电路4)  设置犯规电路。顶层设计使用图形模块连线搭建,顶层功能模快均使用VHDL语言编写

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • pid-vhdl
    基于vhdl的pid控制器设计,可以用quartus等软件实现。数字控制系统pid设计源代码。(Pid controller based on VHDL design, can use the quartus software implementation, etc. Digital pid control system design of source co)
    2014-05-12 21:15:37下载
    积分:1
  • spi flash 控制器设计
    基于FPGA的spi flash 控制器设计,详细的vhdl代码分析.......................................................................................................................................................................................................................
    2022-07-04 03:02:41下载
    积分:1
  • 眼电图形刺激器设计
    完成黑白全屏半屏棋盘格、红绿全屏半屏竖条栅、蓝绿全屏半屏横条栅六种图形格式之间的循环转换,用FPGA实现VGA显示。 设计方案的顶层文件需有几个模块构成:锁相环模块,分频定时模块,时序控制模块和显示模块。每个模块首先用VHDL语言 完成实现并仿真,再生成模块放在顶层的block文件中。锁相环模块作用是把硬件实验板的50MHz转换为适用于VGA800*600 的40MHz时钟;定时模块定时5秒,每5秒转换一种图形显示方式;时序控制模块用于扫描及消隐,使能够正常显示;显示模块 用于显示。各模块正确连线、定义引脚和仿真后,可以下载到FPGA中,连接显示器来显示,六种图形方案每5秒转换,循环。
    2022-01-22 08:35:40下载
    积分:1
  • PS2键盘控制程序实验的内容是用EDK建一个简单的系统并加入自定义的外设(一个ps2键盘控制器) 当键盘按下时会有相应的键扫描码输出显示到PC终端...
    PS2键盘控制程序实验的内容是用EDK建一个简单的系统并加入自定义的外设(一个ps2键盘控制器) 当键盘按下时会有相应的键扫描码输出显示到PC终端 -PS2 keyboard to control the content of the experimental procedure is used EDK build a simple system and add custom peripherals (a ps2 keyboard controller) when the keyboard is pressed the corresponding button will scan code to the PC terminal output shows
    2022-03-26 18:34:50下载
    积分:1
  • clock for spartan 3 evaluatoin board
    clock for spartan 3 evaluatoin board
    2022-02-28 19:30:52下载
    积分:1
  • jiaozhijiejiaozhi
    VHDL代码完成行列交织与解交织的功能实现(the realization of interleaver on VHDL language)
    2020-07-17 15:08:49下载
    积分:1
  • 自适应滤波器
    由于衍射、散射、反射和稀疏等环境损伤的增加,其后果是信号视线的丧失和干扰。自适应信号处理可以克服这些缺陷。该代码是用甚高速硬件描述语言(VHDL)编写的,用以滤除高频,减少噪声和干扰。
    2023-07-04 11:00:03下载
    积分:1
  • 一个用VHDL完成的8位数显的16进制的频率计
    一个用VHDL完成的8位数显的16进制的频率计-a VHDL completed 8 of 16 significant median band of frequency meter
    2022-01-31 16:47:07下载
    积分:1
  • RecentProjectCleaner
    vs自定义插件开发,带卸载功能,经测试完全可用,分享给大家,可以学习!(vs custom plug-in development, with the uninstall feature, has been tested and is fully available for everyone to share, you can learn!)
    2014-12-24 11:35:54下载
    积分:1
  • CC
    说明:  quartus 的一个实例,希望对刚刚学习quartus 的人有点帮助(Quartus an example, in the hope that people just learning a little help Quartus)
    2008-04-09 14:41:36下载
    积分:1
  • 696524资源总数
  • 103938会员总数
  • 55今日下载