登录
首页 » VHDL » 对于Spartan 3E VGA控制器

对于Spartan 3E VGA控制器

于 2023-02-07 发布 文件大小:340.05 kB
0 41
下载积分: 2 下载次数: 1

代码说明:

资源描述VGA视频图形阵列(VGA)接口是一三排15针de-15连接器。15针VGA接口是许多视频卡,发现电脑显示器,以及一些高清晰度电视机。在笔记本电脑或其他小型设备,迷你VGA端口有时用于全尺寸的VGA接口的地方。

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 这是一个fft的IP核,安装要求为quartus6.0以上。解压安装后可在quartus里例化使用,元件主要为cyclone和stratix,最大支持1024点...
    这是一个fft的IP核,安装要求为quartus6.0以上。解压安装后可在quartus里例化使用,元件主要为cyclone和stratix,最大支持1024点的转换。
    2022-01-28 08:13:42下载
    积分:1
  • adc0809ctrl
    用fpga芯片使用vhdl语言对AD转换芯片ADC0809进行控制(Using the fpga chip use language of VHDL AD transform chip ADC0809 control)
    2011-12-12 16:31:59下载
    积分:1
  • qpsk
    QFSK的调制与解调,用C写的主程序,汇编写的调制与解调的子程序(QFSK the modulation and demodulation, with the main program in C, compile writing, the modulation and demodulation of the Subprogram)
    2020-07-01 19:20:02下载
    积分:1
  • QMD
    实现了QPSK的调制,使用了ise自带的dds的IP核(QPSK is modulated and the IP core of DDS is used in ise.)
    2019-05-05 15:37:58下载
    积分:1
  • 2022-05-01 00:03:25下载
    积分:1
  • 电梯控制器程序设计与仿真的vhdl源代码
    电梯控制器程序设计与仿真的vhdl源代码-Elevator controller design and simulation of vhdl source code
    2022-04-08 14:05:19下载
    积分:1
  • class16_pll
    说明:  FPGA实现PLL锁相环,输出不同频率的时钟控制信号。(FPGA realizes PLL and outputs clock control signals of different frequencies.)
    2021-03-19 17:19:19下载
    积分:1
  • VHDL开发环境,出租车计费系统,实现起步10元,每增加一公里,自动上涨2元。...
    VHDL开发环境,出租车计费系统,实现起步10元,每增加一公里,自动上涨2元。-VHDL development environment, taxi billing system to achieve the initial 10 yuan for each additional mile, automatic up 2.
    2022-03-26 01:55:17下载
    积分:1
  • 我用verilog hdl写的tft lcd屏的控制程序,用来点亮屏上的任意点...
    我用verilog hdl写的tft lcd屏的控制程序,用来点亮屏上的任意点-I write the program in verilog hdl,it is used to control the tft lcd
    2022-05-07 11:30:04下载
    积分:1
  • 软件开发环境:ISE 7.1i 仿真环境:ISE Simulator 1. 这个实例实现通过ISE Simulator工具实现一个具有两个方向共...
    软件开发环境:ISE 7.1i 仿真环境:ISE Simulator 1. 这个实例实现通过ISE Simulator工具实现一个具有两个方向共八个灯的交通灯控制器; 2. 工程在project文件夹中,双击traffic.ise文件打开工程; 3. 源文件在rtl文件夹中,traffic.v为设计文件,traffic_tb.tbw是仿真波形文件; 4. 打开工程后,在工程浏览器中选择traffic_tb.tbw,在Process View中双击“Simulation Behavioral Model”选项,进行行为仿真,即可得到仿真结果。-Software development environment: ISE 7.1i simulation environment: ISE Simulator1. Realize this instance through the ISE Simulator tool to achieve a total of eight lights in both directions of traffic lights controller 2. Works project folder, double-click traffic.ise Open the project document 3. rtl source file in the folder, traffic.v for design documents, traffic_tb.tbw is the simulation waveform files 4. to open a project, the project browser, select traffic_tb.tbw, in the Process View in the double hit
    2022-08-09 15:58:02下载
    积分:1
  • 696522资源总数
  • 104049会员总数
  • 30今日下载