登录
首页 » VHDL » 里德所罗门编码器

里德所罗门编码器

于 2023-02-18 发布 文件大小:49.32 kB
0 24
下载积分: 2 下载次数: 1

代码说明:

此库包提供了几个前锋错误纠错 (FEC) 解码器和加速原语在数字信号处理 (DSP) 很有用。除了里德所罗门的编解码器,这些 functionstake 充分利用 MMX、 SSE 和 SSE2 SIMD 指令的设置)/AMD 的 ia-32 处理器和 Altivec/VMX/速度引擎 SIMDinstruction G4 和 G5 PowerPC 上设置。

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • ahb_sramc_vtb
    ahb总线Verilog代码及Verilog仿真文件(ahb bus Verilog code and Verilog simulation code)
    2020-08-25 20:48:15下载
    积分:1
  • 随机数发生器
    随机数发生器
    2023-04-30 09:25:03下载
    积分:1
  • verilog写的数字频率计的选择模块,用与显示的选择
    verilog写的数字频率计的选择模块,用与显示的选择-written in Verilog digital frequency meter option module, used and display options
    2022-02-01 05:29:25下载
    积分:1
  • 可编程逻辑器件cpld与单片机双向通信的源程序
    可编程逻辑器件cpld与单片机双向通信的源程序-Programmable logic device CPLD and MCU for two-way communication of the source
    2022-01-25 20:21:15下载
    积分:1
  • ad0809
    adc0809 转换,verilog代码(adc0809 conversion, verilog code)
    2020-12-21 11:09:08下载
    积分:1
  • PCIe
    本书共由三篇组成。其中第一篇由第1~3章组成,介绍PCI总线的基础知识。第二篇 由第4~13章组成,介绍PCIExpress总线的相关概念。第二篇的内容以第一篇为基础。(This book comprises a total of three components. The first chapter from the first 1-3 chapters, introduces the basics of the PCI bus. Second by the first 4 to 13 chapters, introduces concepts related PCIExpress bus. The contents of the first to second basis.)
    2020-06-26 17:20:02下载
    积分:1
  • verilog实现的“状态机实现AD574数模转换”
    verilog实现的“状态机实现AD574数模转换”-verilog to achieve a " state machine to achieve AD574 digital-analog conversion"
    2023-01-02 18:45:07下载
    积分:1
  • iic
    iic 总线 verilog 源代码 标准i2c总线, 有sda scl 时钟,频率自定(IIC bus standard Verilog source code i2c bus, has sda scl clock, the frequency of self-)
    2007-10-24 17:52:33下载
    积分:1
  • 本代码是用VRILOG语言写的SDRAM的控制器的标准代码,供开发SARM参考....
    本代码是用VRILOG语言写的SDRAM的控制器的标准代码,供开发SARM参考.-this code is used to write the language VRILOG SDRAM controller standard code for the development of SARM reference.
    2022-06-29 06:12:54下载
    积分:1
  • math_real
    in this code very useful for designing real number concept
    2013-11-19 19:54:40下载
    积分:1
  • 696524资源总数
  • 103801会员总数
  • 61今日下载