登录
首页 » VHDL » 如何在语言 VHDL 实现液晶显示中显示的数据转移

如何在语言 VHDL 实现液晶显示中显示的数据转移

于 2023-04-29 发布 文件大小:311.32 kB
0 22
下载积分: 2 下载次数: 1

代码说明:

如何在语言 VHDL 实现液晶显示中显示的数据转移

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • costas_BPSK
    说明:  文档科斯塔斯环路滤波器。。。。。般若撒根本(wendangsafwrfgvearbeabf)
    2019-10-29 20:06:34下载
    积分:1
  • 跑马灯led_horse vhdl cpldfpga
    跑马灯led_horse vhdl cpldfpga-led_horse vhdl cpldfpga
    2022-12-03 00:40:03下载
    积分:1
  • shape
    基于FPGA的成型滤波器的代码,里面内附激励文件,使用verilog编写(FPGA-based shaping filter code, which included incentives files using verilog write)
    2014-06-05 16:52:06下载
    积分:1
  • sram_060803
    SRAM的读写代码,对SRAM进行了乒乓操作,用VHDL语言进行设计,很有参考价值,甚至可以直接复制代码来进行自己的设计(SRAM read and write code, ping-pong operation carried out on the SRAM, using VHDL language design, of great reference value, or even directly copy the code to carry out their own designs)
    2020-12-04 10:39:24下载
    积分:1
  • vhdl_course_tw_CIC
    台湾IC中心VHDL讲义,内容详细,适合IC前端设计参考(Taiwan s IC Center VHDL handouts, detailed reference design for front-end IC)
    2011-01-10 19:06:38下载
    积分:1
  • VHDL-for-engineers
    本书比较系统地介绍了VHDL 的基本语言现象和实用技术全书以实用和可操作 为基点简洁而又不失完整地介绍了VHDL 基于EDA 技术的理论与实践方面的知识 其中包括VHDL 语句语法基础知识第1 章第7 章逻辑综合与编程技术第9 章 有限状态机及其设计第10 章基于FPGA 的数字滤波器设计第11 章多种常用 的支持VHDL 的EDA 软件使用介绍第12 章VHDL 数字系统设计实践介绍第13 章和大学生电子设计赛题的VHDL 应用介绍第14 章全书列举了大量VHDL 设 计示例其中大部分经第12 章介绍的VHDL 综合器编译通过第13 章的程序绝大部分 都通过了附录介绍的EDA 实验系统上的硬件测试可直接使用书中还附有大量程序 设计和实验/实践方面的习题 本书可作为高等院校的电子工程通信工业自动化计算机应用技术电子对抗 仪器仪表数字信号处理图像处理等学科领域和专业的高年级本科生或研究生的VHDL 或EDA 技术课程的教材及实验指导也可作为相关专业技术人员的自学参考书(VHDL for engineers)
    2013-10-29 09:33:59下载
    积分:1
  • sqrt_pipeline
    说明:  Matlab - to hdl code for square root
    2020-06-17 12:20:02下载
    积分:1
  • fpga
    FPGA代码,包含地址译码模块、16位锁存器、AD片选、死区及滤除窄脉冲、过流和短路保护、解除脉冲封锁模块、PWM模块、PWM选择 (FPGA code, including the address decoder module 16 latches, AD chip select, filter out the dead and narrow pulse, overcurrent and short circuit protection, lifting the blockade pulse module, PWM module, PWM selection)
    2015-11-18 10:47:22下载
    积分:1
  • 一种使用modelsim6简单的编码程序
    A program for a simple encoder using ModelSim6
    2022-02-04 18:51:34下载
    积分:1
  • zhitouzi
    原创。掷骰子游戏,VHDL,quartus,北京邮电大学数电实验,实现随机掷骰子游戏,在数码管显示点数,点阵显示输赢,有开机动画以及开机音乐,可实现多人游戏等(games, VHDL, quartus,experiments of BUPT, pure originality,random game, in the digital display dots, dot matrix display winning or losing, there are boot animation and boot music, multiplayer gaming can be achieved)
    2020-12-24 20:49:04下载
    积分:1
  • 696522资源总数
  • 104047会员总数
  • 21今日下载