登录
首页 » Verilog » Cache verilog代码

Cache verilog代码

于 2023-05-15 发布 文件大小:2.60 MB
0 23
下载积分: 2 下载次数: 1

代码说明:

应用背景原创VERILOG HDL 实现数据指令CACHE的操作,LRU替换算法,包括1路组相连和2路组相连,包含ISE工程文件,亲测可用,初学者必备关键技术采用verilog语言设计的ARM cache,包含tb文件,写回策略。LRU替换算法

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • EEPROM_RD_WR
    本程序包含:EEPROM的功能模型(eeprom.v)、读/写EEPROM的verilog HDL 行为模块(eeprom_wr.v)、信号产生模块(signal.v)和顶层模块(top.v) ,这样可以有一个完整的EEPROM的控制模块和测试文件,本文件通过测试。(This procedure includes: EEPROM of the functional model (eeprom.v), read/write EEPROM acts of verilog HDL modules (eeprom_wr.v), signal generator module (signal.v) and top-level module (top.v), this can have a EEPROM complete control module and test document, this document is to pass the test.)
    2008-12-23 15:04:20下载
    积分:1
  • DDS
    基于FPGA器件的DDS设计实现中的一个核心部分就是波形存储表的设计。首先采用LPM_ROM和 VHDL选择语句这两种方法进行波形存储表的设计和比较分析 然后考虑到硬件资源的有限性及DDS的精度要 求,对这两种方法的程序进行了优化 最后对这两种方法设计的程序进行仿真和硬件调试。结果表明:采用这两种 方法都能有效地实现DDS中波形存储表的设计。 (DDS-based FPGA devices designed to achieve one of the core of the waveform is stored in table design. First of all, choose to adopt LPM_ROM and VHDL statements of these two methods for the design waveform storage tables and comparative analysis and then, taking into account the limited hardware resources and the accuracy of DDS, the two methods to optimize the process the last of these two methods of process design simulation and hardware debugging. The results showed that: the use of these two methods are all effective ways to achieve the DDS waveform stored in the table design.)
    2009-05-24 10:56:30下载
    积分:1
  • 基于FPGA的LCD1602驱动程序
    ,笔者准备采用LCD1602字符液晶作为载体,来实现“Hello World”的显示。雷同于前面MCU按键消抖动方案1的C语言代码移植一样,此处我们准备以状态机的方式,移植LCD1602的驱动代码到Verilog HDL中,驱动实现LCD
    2022-01-25 18:26:34下载
    积分:1
  • GgmsskModulatM
    GMSK的调制解调,理理想信道,画出其功率谱。 (GMSK modulation and demodulation, management ideal channel, to draw its power spectrum.)
    2020-07-02 02:00:02下载
    积分:1
  • fpga控制 ad7606 verilog语言
    fpga 控制ad7606,编写代码用verilog语言,实现采集函数发生器
    2022-01-25 23:44:58下载
    积分:1
  • exercise3
    用verilog实现dsp与Fpga接口的同步设计,其功能包括读写操作及四个功能模块,采用两个fifo实现不同时钟域的地址与数据的转换,在quartus ii11.0环境下运行,运行此程序之前需运行将调用fifo。(Dsp using verilog achieve synchronization with Fpga interface design, its features include read and write operations and four functional modules, using two different clock domains to achieve fifo address and data conversion in quartus ii11.0 environment to run, run this program required before running calls fifo.)
    2013-08-30 11:12:09下载
    积分:1
  • 卡内基梅陇大学verilog课程讲义-unlocked
    verilog讲义 卡内基梅陇大学verilog课程讲义-unlocked 卡内基梅陇大学verilog课程讲义-unlocked(Verilog Course Lectures at Carnegie Mellon, University Verilog Course Lectures at Carnegie Mellon University Verilog Course Lectures at Carnegie Mellon University)
    2020-06-20 18:00:02下载
    积分:1
  • fft,ifft verilog代码
    快速傅立叶变换及反变换  快速傅立叶变换及反变换的verilog代码,altera官网提供,也可以从http://www.altera.com.cn/网址查找。做数字信号处理的同学可以看看。
    2022-01-27 22:39:51下载
    积分:1
  • 简单的32位RISC CPU内核
    我是在韩国仁荷大学学生。这是项目结果的计算机体系结构。它的 CPU 核心,32 位 RISC 系统。它可以在 300 MIPS opreated。1cycle / 1instruction 系统。它提出简单的哈佛架构。和做简单的算术逻辑。
    2022-01-28 09:03:42下载
    积分:1
  • DS28E01
    用verilog语言实现加密芯片DS28E01的调用操作命令。(Using Verilog language to achieve the encryption chip DS28E01 call operation commands.)
    2021-03-17 09:49:21下载
    积分:1
  • 696524资源总数
  • 103945会员总数
  • 46今日下载